Advertisement
Guest User

Untitled

a guest
Apr 27th, 2017
61
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module counter_time_tb();
  2. reg clk;
  3. reg pr; //preset
  4. reg[4:0] in_hour;
  5. reg[5:0] in_min; //set hour and minute
  6. //Khai bao output
  7. wire error; //loi nhap
  8. wire[4:0] hour;
  9. wire[5:0] min;
  10. wire[5:0] sec;
  11. //Start
  12. counter_time ins(
  13. .clk    (clk),
  14. .pr     (pr), //preset
  15. .in_hour(in_hour),
  16. .in_min (in_min),
  17. .hour   (hour),
  18. .min    (min),
  19. .sec    (sec),
  20. .error  (error)
  21. );
  22. initial begin
  23. $display("\ttime\tclk\tpreset\tinmin\tinhour\thour\tmin\tsec\terror");
  24. $monitor("%d\t%d\t%d\t%d\t%d\t%d\t%d\t%d\t%d", $time,clk,pr,in_min,in_hour,hour,min,sec,error);
  25. clk = 0;
  26. #80 in_hour = 4; in_min = 60;
  27. #5 pr = 0;
  28. #8 pr = 1;
  29. #10 in_hour = 4; in_min = 6;
  30. #5 pr = 0;
  31. #8 pr = 1;
  32. end
  33. always begin
  34. #1 clk = ~clk;
  35. end
  36. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement