Advertisement
Guest User

Code_ATM

a guest
Mar 23rd, 2018
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.40 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. entity lab7 is
  4. port (
  5. SW0 : in STD_LOGIC; --active low set input
  6. SW1 : in STD_LOGIC; --active low reset input
  7. LEDR0 : buffer STD_LOGIC; --data output
  8. LEDR1 : buffer STD_LOGIC --inverted data output
  9. );
  10. end lab7;
  11. architecture lab7_arch of lab7 is
  12. begin
  13. LEDR0 <= NOT(SW0 or LEDR1); --cross-coupled NAND gates
  14. LEDR1 <= NOT(SW1 or LEDR0);
  15. end lab7_arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement