Advertisement
Guest User

Untitled

a guest
Mar 14th, 2018
73
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.72 KB | None | 0 0
  1. module DecToHex(input[3:0] wejscie, output reg[6:0] wyjscie);
  2.  
  3. always
  4. begin
  5. if(wejscie == 4'd0) wyjscie = 7'b1000000;
  6. if(wejscie == 4'd1) wyjscie = 7'b1111001;
  7. if(wejscie == 4'd2) wyjscie = 7'b0100100;
  8. if(wejscie == 4'd3) wyjscie = 7'b0110000;
  9. if(wejscie == 4'd4) wyjscie = 7'b0011001;
  10. if(wejscie == 4'd5) wyjscie = 7'b0010010;
  11. if(wejscie == 4'd6) wyjscie = 7'b0000010;
  12. if(wejscie == 4'd7) wyjscie = 7'b1111000;
  13. if(wejscie == 4'd8) wyjscie = 7'b0000000;
  14. if(wejscie == 4'd9) wyjscie = 7'b0001000;
  15. end
  16. endmodule
  17.  
  18. module cw1 (SW, HEX1, HEX2, HEX3, HEX0);
  19. output[6:0] HEX1, HEX2, HEX3, HEX0;
  20. input[3:0] SW;
  21.  
  22. DecToHex(4'd8,HEX3);
  23. DecToHex(4'd4,HEX2);
  24. DecToHex(4'd3,HEX1);
  25. DecToHex(4'd8,HEX0);
  26.  
  27. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement