Advertisement
Guest User

Untitled

a guest
Oct 25th, 2018
119
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module div (
  2.     input signed [31:0] A,
  3.     input signed [31:0] B,
  4.     input clk,
  5.     input reset,
  6.     input DivCon,
  7.     output reg signed [31:0] hi,
  8.     output reg signed [31:0] lo,
  9.     output exc
  10.  
  11. );
  12.  
  13. reg signed [63:0] Div;
  14. reg signed [63:0] Divs;
  15. reg signed [31:0] quo;
  16. reg signed [63:0] aux;
  17. integer i;
  18. initial begin
  19.     i = 34;
  20. end
  21. always @ (posedge clk) begin
  22.     if (reset == 1) begin
  23.         Div = 64'b0;
  24.         Divs = 64'b0;
  25.         quo = 32'b0;
  26.         aux = 64'b0;
  27.     end
  28.     if (DivCon == 1) begin
  29.         if (i > 31) begin
  30.             Div = {32'b0, A};
  31.             Divs = {B, 32'b0};
  32.             quo = 32'b0;
  33.             i = 0;
  34.         end
  35.     end
  36.     if (i < 32) begin
  37.         aux = ~Divs + 1;
  38.         aux = Div + aux;
  39.  
  40.         case (aux[63])
  41.             1'b1: begin
  42.                 quo = quo <<< 1;
  43.                 Divs = Divs >>> 1;
  44.             end
  45.             1'b0: begin
  46.                 quo = quo <<< 1;
  47.                 Divs = Divs >>> 1;
  48.                 quo = {quo[31:1], 1'b1};
  49.             end
  50.         endcase
  51.         i = i + 1;
  52.         hi = i;
  53.         if (i == 32) begin
  54.             hi = quo;
  55.             lo = aux[31:0];
  56.         end
  57.     end
  58. end
  59.  
  60. endmodule //
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement