Advertisement
Guest User

Untitled

a guest
Jun 13th, 2017
314
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. alias qkb {
  2.   var %iel 1
  3.   while ($iel(#,%iel) != $null) {
  4.     if ($v1 iswm $address($1,5)) {
  5.       var %mask $addtok(%mask,$v1,32)
  6.       if ($numtok(%mask,32) == $modespl) {
  7.         mode # - $+ $str(e,$v1) %mask
  8.         var %mask
  9.       }
  10.     }
  11.     inc %iel
  12.   }
  13.   if (%iel != $null) {
  14.     mode # - $+ $str(e,$numtok(%mask,32)) %mask
  15.   }
  16.   var %iil 1,%mask
  17.   while ($iil(#,%iil) != $null) {
  18.     if ($v1 iswm $address($1,5)) {
  19.       var %mask $addtok(%mask,$v1,32)
  20.       if ($numtok(%mask,32) == $modespl) {
  21.         mode # - $+ $str(I,$v1) %mask
  22.         var %mask
  23.       }
  24.     }
  25.     inc %iil
  26.   }
  27.   if (%mask != $null) {
  28.     mode # - $+ $str(I,$numtok(%mask,32)) %mask
  29.   }
  30.   mode # -Q+bbb $address($1,2) $address($1,3) $1-
  31.   kick # $1-
  32. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement