Advertisement
Guest User

Untitled

a guest
Mar 31st, 2014
299
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 2.89 KB | None | 0 0
  1. Info: *******************************************************************
  2. Info: Running Quartus II 64-Bit Analysis & Synthesis
  3. Info: Version 7.2 Build 203 02/05/2008 Service Pack 2 SJ Full Version
  4. Info: Processing started: Mon Mar 31 19:02:11 2014
  5. Info: Command: quartus_map --read_settings_files=on --write_settings_files=off ME -c ME
  6. Info: Found 1 design units, including 0 entities, in source file ../libraries/vhdl/ieee_proposed/fixed_float_types_c.vhdl
  7. Info: Found design unit 1: fixed_float_types (ieee_proposed)
  8. Info: Found 2 design units, including 0 entities, in source file ../libraries/vhdl/ieee_proposed/fixed_pkg_c.vhdl
  9. Info: Found design unit 1: fixed_pkg (ieee_proposed)
  10. Info: Found design unit 2: fixed_pkg-body
  11. Info: Found 2 design units, including 0 entities, in source file ../libraries/vhdl/ieee_proposed/float_pkg_c.vhdl
  12. Info: Found design unit 1: float_pkg (ieee_proposed)
  13. Info: Found design unit 2: float_pkg-body
  14. Info: Found 2 design units, including 1 entities, in source file ME.vhd
  15. Info: Found design unit 1: ME-rtl
  16. Info: Found entity 1: ME
  17. Info: Found 2 design units, including 1 entities, in source file SM.vhd
  18. Info: Found design unit 1: SM-sm1
  19. Info: Found entity 1: SM
  20. Info: Found 2 design units, including 1 entities, in source file BM.vhd
  21. Info: Found design unit 1: BM-bm1
  22. Info: Found entity 1: BM
  23. Info: Elaborating entity "SM" for the top level hierarchy
  24. Warning (10445): VHDL Subtype or Type Declaration warning at fixed_pkg_c.vhdl(1470): subtype or type has null range
  25. Warning (10445): VHDL Subtype or Type Declaration warning at fixed_pkg_c.vhdl(1471): subtype or type has null range
  26. Warning (10445): VHDL Subtype or Type Declaration warning at fixed_pkg_c.vhdl(1472): subtype or type has null range
  27. Warning (10445): VHDL Subtype or Type Declaration warning at float_pkg_c.vhdl(1021): subtype or type has null range
  28. Warning (10445): VHDL Subtype or Type Declaration warning at float_pkg_c.vhdl(1022): subtype or type has null range
  29. Error (10454): VHDL syntax error at float_pkg_c.vhdl(3843): right bound of range must be a constant
  30. Error (10657): VHDL Subprogram error at SM.vhd(93): failed to elaborate call to subprogram "to_float"
  31. Error (10346): VHDL error at float_pkg_c.vhdl(4846): formal port or parameter "l" must have actual or default value
  32. Error (10346): VHDL error at float_pkg_c.vhdl(4846): formal port or parameter "r" must have actual or default value
  33. Error (10658): VHDL Operator error at SM.vhd(93): failed to evaluate call to operator ""/""
  34. Error: Can't elaborate top-level user hierarchy
  35. Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 6 errors, 5 warnings
  36. Info: Allocated 302 megabytes of memory during processing
  37. Error: Processing ended: Mon Mar 31 19:02:48 2014
  38. Error: Elapsed time: 00:00:37
  39. Error: Quartus II Full Compilation was unsuccessful. 6 errors, 5 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement