Advertisement
Guest User

Untitled

a guest
Oct 17th, 2017
44
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.69 KB | None | 0 0
  1. Library Ieee ;
  2. USE Ieee.std_logic_1164.all ;
  3. USE ieee.numeric_std.all;
  4. use ieee.std_logic_unsigned.all;
  5.  
  6.  
  7. ENTITY Lab7 IS  
  8.         PORT ( A                      : in   STD_LOGIC_VECTOR(3 downto 0); --A input
  9.                B                      : in   STD_LOGIC_VECTOR(3 downto 0); --B input
  10.                Op                     : in   STD_LOGIC_VECTOR(1 downto 0); --Selects operation
  11.                hex0,hex1, hex2, hex3  : out  STD_LOGIC_VECTOR(6 downto 0) --hex displays    
  12.              );
  13.     END Lab7;
  14.      
  15.      ARCHITECTURE structure OF Lab7 IS
  16.  
  17.     SIGNAL in_num_signal: STD_LOGIC_VECTOR(7 DOWNTO 0):= "00000000" ;  
  18. ---------------------------------------------------------------------          
  19.     COMPONENT Lab5 is
  20.     Port ( A    :   in   STD_LOGIC_VECTOR(3 downto 0);      --A input
  21.            B    :   in   STD_LOGIC_VECTOR(3 downto 0);      --B input
  22.            Op   :   in   STD_LOGIC_VECTOR(1 downto 0);                                  
  23.            R    :   out  STD_LOGIC_VECTOR(7 downto 0));                                      
  24.     END COMPONENT;
  25. ---------------------------------------------------------------------      
  26.     COMPONENT Lab6 IS
  27.      PORT ( in_num                  :   in   STD_LOGIC_VECTOR(7 downto 0);                  --8-bit input
  28.             HEX0,HEX1,HEX2,HEX3     :   out  STD_LOGIC_VECTOR(6 downto 0));
  29.      End COMPONENT Lab6;
  30. ---------------------------------------------------------------------          
  31.     BEGIN
  32.    
  33.     Calculator : Lab5
  34.    
  35.         PORT MAP (A => A,
  36.                      B => B,
  37.                      Op => Op);
  38.        
  39.     DisplayDriver : Lab6
  40.    
  41.             PORT MAP (HEX0              => hex0,
  42.                          HEX1               => hex1,
  43.                          HEX2                   => hex2,
  44.                          HEX3                   => hex3,
  45.                          in_num             => in_num_signal);
  46.  
  47. END structure;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement