Advertisement
Guest User

Untitled

a guest
Oct 17th, 2017
64
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.56 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. ENTITY TP1EX1 IS
  5. PORT ( E1, E0 : IN STD_LOGIC;
  6. nenable : IN STD_LOGIC;
  7. S3, S2, S1, S0 : OUT STD_LOGIC);
  8. END ENTITY TP1EX1;
  9.  
  10.  
  11. ARCHITECTURE fdd_TP1EX1 OF TP1EX1 IS
  12. SIGNAL S: STD_LOGIC_VECTOR(3 DOWNTO 0);
  13. SUBTYPE selecteur IS STD_LOGIC_VECTOR(2 DOWNTO 0);
  14. BEGIN
  15. (S3, S2, S1, S0) <= S;
  16. WITH selecteur'(nenable & E1 & E0) SELECT
  17. S <= "0001" WHEN "000",
  18. "0010" WHEN "001",
  19. "0100" WHEN "010",
  20. "1000" WHEN "011",
  21. "ZZZZ" WHEN "1--",
  22. "UUUU" WHEN OTHERS;
  23. END ARCHITECTURE fdd_TP1EX1;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement