Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- open_project /home/lutrampal/cours/s5/implem_sle/light_wrapper_64_128_pcd_optr/light_wrapper_64_128_pcd_optr.xpr
- Scanning sources...
- Finished scanning sources
- INFO: [IP_Flow 19-234] Refreshing IP repositories
- INFO: [IP_Flow 19-1704] No user IP repositories specified
- INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.2/data/ip'.
- WARNING: [IP_Flow 19-3899] Cannot get the environment domain name variable for the component vendor name. Setting the vendor name to 'user.org'.
- update_compile_order -fileset sources_1
- reset_run synth_1
- launch_runs synth_1 -jobs 4
- [Sun Feb 3 18:30:17 2019] Launched synth_1...
- Run output will be captured here: /home/lutrampal/cours/s5/implem_sle/light_wrapper_64_128_pcd_optr/light_wrapper_64_128_pcd_optr.runs/synth_1/runme.log
- open_run synth_1 -name synth_1
- Design is defaulting to impl run constrset: constrs_1
- Design is defaulting to synth run part: xc7z020clg400-1
- INFO: [Netlist 29-17] Analyzing 198 Unisim elements for replacement
- INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
- INFO: [Project 1-479] Netlist was created with Vivado 2018.2
- INFO: [Project 1-570] Preparing netlist for logic optimization
- INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
- INFO: [Project 1-111] Unisim Transformation Summary:
- No Unisim elements were transformed.
- write_verilog /home/lutrampal/light_wrapper_64_128_pcd_optr.v
- close_project
- create_project project_3 /home/lutrampal/tmp/project_3 -part xc7z010clg400-1
- INFO: [IP_Flow 19-234] Refreshing IP repositories
- INFO: [IP_Flow 19-1704] No user IP repositories specified
- INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2018.2/data/ip'.
- WARNING: [IP_Flow 19-3899] Cannot get the environment domain name variable for the component vendor name. Setting the vendor name to 'user.org'.
- set_property target_language VHDL [current_project]
- set_property simulator_language VHDL [current_project]
- add_files -norecurse {/media/data/git/simon_bist/generated_arch/light_wrapper_64_128_pcd_optr/vhd/constants.vhd /media/data/git/simon_bist/generated_arch/light_wrapper_64_128_pcd_optr/vhd/cryptoProc_tb.vhd /home/lutrampal/light_wrapper_64_128_pcd_optr.v}
- update_compile_order -fileset sources_1
- launch_simulation
- INFO: [Vivado 12-5682] Launching behavioral simulation in '/home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim'
- INFO: [SIM-utils-51] Simulation object is 'sim_1'
- INFO: [SIM-utils-54] Inspecting design source files for 'cryptoProc_tb' in fileset 'sim_1'...
- INFO: [USF-XSim-97] Finding global include files...
- INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
- INFO: [USF-XSim-2] XSim::Compile design
- INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim'
- xvlog --incr --relax -prj cryptoProc_tb_vlog.prj
- INFO: [VRFC 10-2263] Analyzing Verilog file "/home/lutrampal/light_wrapper_64_128_pcd_optr.v" into library xil_defaultlib
- INFO: [VRFC 10-311] analyzing module FSM
- INFO: [VRFC 10-311] analyzing module cryptoProc
- INFO: [VRFC 10-311] analyzing module cryptoProcWithBIST
- INFO: [VRFC 10-311] analyzing module keyGenerator
- INFO: [VRFC 10-311] analyzing module keyMemory
- INFO: [VRFC 10-311] analyzing module msgRegister
- INFO: [VRFC 10-2263] Analyzing Verilog file "/home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib
- INFO: [VRFC 10-311] analyzing module glbl
- xvhdl --incr --relax -prj cryptoProc_tb_vhdl.prj
- INFO: [VRFC 10-163] Analyzing VHDL file "/media/data/git/simon_bist/generated_arch/light_wrapper_64_128_pcd_optr/vhd/constants.vhd" into library xil_defaultlib
- INFO: [VRFC 10-163] Analyzing VHDL file "/media/data/git/simon_bist/generated_arch/light_wrapper_64_128_pcd_optr/vhd/cryptoProc_tb.vhd" into library xil_defaultlib
- INFO: [VRFC 10-307] analyzing entity cryptoProc_tb
- INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
- INFO: [USF-XSim-3] XSim::Elaborate design
- INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim'
- Vivado Simulator 2018.2
- Copyright 1986-1999, 2001-2018 Xilinx, Inc. All Rights Reserved.
- Running: /opt/Xilinx/Vivado/2018.2/bin/unwrapped/lnx64.o/xelab -wto 0252054ec3e04f868ec2d8fa0135ab44 --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot cryptoProc_tb_behav xil_defaultlib.cryptoProc_tb xil_defaultlib.glbl -log elaborate.log
- Using 8 slave threads.
- Starting static elaboration
- WARNING: [VRFC 10-278] actual bit length 14 differs from formal bit length 16 for port DOBDO [/home/lutrampal/light_wrapper_64_128_pcd_optr.v:4873]
- Completed static elaboration
- Starting simulation data flow analysis
- Completed simulation data flow analysis
- Time Resolution for simulation is 1ps
- Compiling package std.standard
- Compiling package std.textio
- Compiling package ieee.std_logic_1164
- Compiling package ieee.numeric_std
- Compiling package xil_defaultlib.constants
- Compiling package vl.vl_types
- Compiling module xil_defaultlib.glbl
- Compiling module unisims_ver.GND
- Compiling module unisims_ver.VCC
- Compiling module unisims_ver.IBUF
- Compiling module unisims_ver.BUFG
- Compiling module unisims_ver.LUT5
- Compiling module unisims_ver.LUT4
- Compiling module unisims_ver.x_lut3_mux8
- Compiling module unisims_ver.LUT3
- Compiling module unisims_ver.LUT6
- Compiling module unisims_ver.x_lut2_mux4
- Compiling module unisims_ver.LUT2
- Compiling module unisims_ver.FDSE_default
- Compiling module unisims_ver.FDRE_default
- Compiling module xil_defaultlib.FSM
- Compiling module xil_defaultlib.keyGenerator
- Compiling module unisims_ver.RB18_INTERNAL_VLOG(READ_WIDTH_A=...
- Compiling module unisims_ver.RAMB18E1(READ_WIDTH_A=18,READ_WI...
- Compiling module xil_defaultlib.keyMemory
- Compiling module unisims_ver.FDCE_default
- Compiling module xil_defaultlib.msgRegister
- Compiling module xil_defaultlib.cryptoProc
- Compiling module unisims_ver.OBUF
- Compiling module xil_defaultlib.cryptoProcWithBIST
- Compiling architecture behavior of entity xil_defaultlib.cryptoproc_tb
- Built simulation snapshot cryptoProc_tb_behav
- ****** Webtalk v2018.2 (64-bit)
- **** SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018
- **** IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018
- ** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
- source /home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim/xsim.dir/cryptoProc_tb_behav/webtalk/xsim_webtalk.tcl -notrace
- INFO: [Common 17-186] '/home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim/xsim.dir/cryptoProc_tb_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Sun Feb 3 18:35:23 2019. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/Vivado/2018.2/doc/webtalk_introduction.html.
- INFO: [Common 17-206] Exiting Webtalk at Sun Feb 3 18:35:23 2019...
- run_program: Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 7708.039 ; gain = 0.000 ; free physical = 1186 ; free virtual = 5541
- INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
- INFO: [USF-XSim-4] XSim::Simulate design
- INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/home/lutrampal/tmp/project_3/project_3.sim/sim_1/behav/xsim'
- INFO: [USF-XSim-98] *** Running xsim
- with args "cryptoProc_tb_behav -key {Behavioral:sim_1:Functional:cryptoProc_tb} -tclbatch {cryptoProc_tb.tcl} -log {simulate.log}"
- INFO: [USF-XSim-8] Loading simulator feature
- Vivado Simulator 2018.2
- Time resolution is 1 ps
- source cryptoProc_tb.tcl
- # set curr_wave [current_wave_config]
- # if { [string length $curr_wave] == 0 } {
- # if { [llength [get_objects]] > 0} {
- # add_wave /
- # set_property needs_save false [current_wave_config]
- # } else {
- # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
- # }
- # }
- # run 1000ns
- Note: starting Simon 64/128 test ...
- Time: 20 ns Iteration: 0 Process: /cryptoProc_tb/stim_proc File: /media/data/git/simon_bist/generated_arch/light_wrapper_64_128_pcd_optr/vhd/cryptoProc_tb.vhd
- Note: test BIST...
- Time: 20 ns Iteration: 0 Process: /cryptoProc_tb/stim_proc File: /media/data/git/simon_bist/generated_arch/light_wrapper_64_128_pcd_optr/vhd/cryptoProc_tb.vhd
- INFO: [USF-XSim-96] XSim completed. Design snapshot 'cryptoProc_tb_behav' loaded.
- INFO: [USF-XSim-97] XSim simulation ran for 1000ns
- launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:12 . Memory (MB): peak = 7708.039 ; gain = 0.000 ; free physical = 1181 ; free virtual = 5536
- llength [ get_objects -r -filter {type != constant} ]
- 542
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement