Advertisement
Guest User

Untitled

a guest
Mar 21st, 2018
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.12 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 03/21/2018 12:49:53 PM
  6. -- Design Name:
  7. -- Module Name: SE - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20.  
  21.  
  22. library IEEE;
  23. use IEEE.STD_LOGIC_1164.ALL;
  24.  
  25. -- Uncomment the following library declaration if using
  26. -- arithmetic functions with Signed or Unsigned values
  27. --use IEEE.NUMERIC_STD.ALL;
  28.  
  29. -- Uncomment the following library declaration if instantiating
  30. -- any Xilinx leaf cells in this code.
  31. --library UNISIM;
  32. --use UNISIM.VComponents.all;
  33.  
  34. entity SE is
  35.     Port ( x : in STD_LOGIC;
  36.            y : in STD_LOGIC;
  37.            Tin : in STD_LOGIC;
  38.            Tout : out STD_LOGIC;
  39.            S : out STD_LOGIC);
  40. end SE;
  41.  
  42. architecture Behavioral of SE is
  43.  
  44. begin
  45.  
  46.     S <= x xor y xor Tin;
  47.     Tout <= (x and y) or ((x or y) and Tin);
  48.  
  49. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement