Advertisement
Guest User

Untitled

a guest
May 4th, 2017
103
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module ControllerTest();
  2.  
  3.     logic       clk, reset;
  4.     logic [5:0] op, funct;
  5.     logic       zero;
  6.     logic       pcen, memwrite, irwrite, regwrite;
  7.     logic       alusrca, iord, memtoreg, regdst;
  8.     logic [1:0] alusrcb, pcsrc;
  9.     logic [2:0] alucontrol;
  10.     logic [10:0] controls;
  11.  
  12.     initial begin
  13.         reset = 1; #10; reset = 0;
  14.         op = 6'b000010;
  15.         op = 6'b001000;     #100;
  16.         op = 6'b000100;     #100;
  17.         op = 6'b0;  funct = 6'b100000; #100;
  18.         op = 6'b101011;     #100;
  19.         funct = 6'b100010;  #100;
  20.         funct = 6'b100100;  #100;
  21.         op = 6'b100011;     #100;
  22.         funct = 6'b100101;  #100;
  23.         funct = 6'b101010;  #100;
  24.    
  25.     end
  26.     always begin
  27.         clk = 1; #10;   clk = 0; #10;
  28.     end
  29.     controller controler(clk, reset, op, funct, zero, pcen, memwrite, irwrite, regwrite, alusrca,iord,memtoreg,regdst, alusrcb, pcsrc, alucontrol);
  30.    
  31.     assign controls = {pcen, memwrite, irwrite, regwrite, alusrca, alusrcb, pcsrc, alucontrol};
  32.  
  33. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement