Advertisement
Guest User

Untitled

a guest
Dec 26th, 2018
76
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module artix7
  4.     (
  5.         input  wire [3:0] sw,
  6.         output wire [1:0] led
  7.     );
  8.    
  9.     or_gate u_or_gate
  10.     (
  11.         .a(sw[1]),
  12.         .b(sw[0]),
  13.         .y(led[0])  
  14.     );
  15.    
  16.     and_gate u_and_gate
  17.     (
  18.         .a(sw[3]),
  19.         .b(sw[2]),
  20.         .y(led[1])
  21.     );
  22.    
  23. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement