Guest User

Untitled

a guest
Apr 23rd, 2018
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.68 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. ENTITY ROP IS
  5.     PORT (
  6.         CLOCK    : IN STD_LOGIC;
  7.         BT1      : IN STD_LOGIC;
  8.         BT2      : IN STD_LOGIC;
  9.         SWITCHES : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
  10.         INST     : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
  11.     );
  12. END ROP;
  13.  
  14. ARCHITECTURE BEHAVIOR OF ROP IS
  15. BEGIN
  16.     PROCESS(CLOCK,BT1,BT2)
  17.     BEGIN
  18.         IF CLOCK'EVENT AND CLOCK = '1' THEN
  19.             IF BT1 = '1' THEN
  20.                 INST(15 DOWNTO 0) <= SWITCHES;
  21.             END IF;
  22.            
  23.             IF BT2 = '1' THEN
  24.                 INST(31 DOWNTO 16) <= SWITCHES;
  25.             END IF;
  26.         END IF;
  27.     END PROCESS;
  28. END BEHAVIOR;
Add Comment
Please, Sign In to add comment