Guest User

Untitled

a guest
Sep 18th, 2018
75
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.44 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  4. use IEEE.STD_LOGIC_ARITH.ALL;
  5. use work.JPU16_Pack.all;
  6.  
  7. entity SistemaPrincipal is
  8. Generic( AnchoBus: integer := 16);
  9. Port ( clk : in STD_LOGIC;
  10. SalPort: out STD_LOGIC_VECTOR(AnchoBus-1 downto 0));
  11. end SistemaPrincipal;
  12.  
  13. architecture Behavioral of SistemaPrincipal is
  14.  
  15. signal BusOut: STD_LOGIC_vECTOR(AnchoBus-1 downto 0);
  16. signal SalPortReg: STD_LOGIC_vECTOR(AnchoBus-1 downto 0);
  17. signal WE: STD_LOGIC;
  18. signal TimerPort0:STD_LOGIC_VECTOR(AnchoBus-1 downto 0);
  19. signal IO_Addr: STD_LOGIC_VECTOR(AnchoBus-1 downto 0);
  20. signal IO_RD: STD_LOGIC;
  21.  
  22. component STimer is
  23. Port(
  24. SysClk: in STD_LOGIC;
  25. IO_Addr: in STD_LOGIC_VECTOR( AnchoBus-1 downto 0);
  26. IO_Dout: in STD_LOGIC_VECTOR( AnchoBus-1 downto 0);
  27. IO_Din: out STD_LOGIC_VECTOR( AnchoBus-1 downto 0);
  28. IO_RD: in STD_LOGIC;
  29. IO_WE: in STD_LOGIC
  30. );
  31. end component;
  32. begin
  33.  
  34. Timer0: STimer
  35. Port map(
  36. SysClk => clk,
  37. IO_Addr => IO_Addr,
  38. IO_Dout => BusOut,
  39. IO_Din => TimerPort0,
  40. IO_RD => IO_RD,
  41. IO_WE => WE
  42. );
  43.  
  44. CPU1: JPU16
  45. generic map(nInputPorts => 2
  46. )
  47. Port map(
  48. SysClk => clk,
  49. SysHold => '0',
  50. Int => '0',
  51. Reset => '0',
  52. IO_Addr => IO_Addr,
  53. IO_Dout => BusOut,
  54. IO_WR => WE,
  55. IO_RD => IO_RD,
  56. IO_Din(0) => (others => '0'),
  57. IO_Din(1) => TimerPort0
  58. );
  59.  
  60. SalPortReg <= BusOut when WE = '1' and rising_edge(clk) else SalPortReg;
  61. SalPort <= SalPortReg;
  62.  
  63. end Behavioral;
Add Comment
Please, Sign In to add comment