Advertisement
lasthunter657

Untitled

Dec 11th, 2021
207
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.45 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. USE IEEE.NUMERIC_STD.ALL;
  4. PACKAGE ITCE211Project_library IS
  5.  
  6. CONSTANT number_of_PE : INTEGER := 4 ;
  7. CONSTANT data_width : INTEGER := 4;
  8. CONSTANT data_output : INTEGER := number_of_PE *data_width;
  9. TYPE vector_array IS ARRAY (Natural range <>) OF STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
  10. TYPE my_array IS ARRAY (number_of_pe - 1 DOWNTO 0) OF STD_LOGIC;
  11.  
  12.  
  13. END PACKAGE ITCE211Project_library;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement