Guest User

Untitled

a guest
Sep 13th, 2018
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.83 KB | None | 0 0
  1. select_process: process(pr_state, sens)
  2. begin
  3. case pr_state is
  4.     when state0 =>
  5.         if sens(0)='1' then
  6.             pr_state<=state1;
  7.         else if sens(1)='1' then
  8.             pr_state<=state4;
  9.         end if;
  10.  
  11.     when state1 =>
  12.         if sens(1)='1' then
  13.             if sens(0)='1' then
  14.                 pr_state<=state2;
  15.             else if sens(0)='0' then
  16.                 pr_state<=state3;
  17.             end if;
  18.         end if;
  19.        
  20.     when state4 =>
  21.         if sens(0)='1' then
  22.             if sens(1)='1' then
  23.                 pr_state<=state5;
  24.             else if sens(1)='0' then
  25.                 pr_state<=state6;
  26.             end if;
  27.         end if;
  28.    
  29.     when state2 =>
  30.         if sens(1)='0' then
  31.             pr_state<=state0;
  32.         end if;
  33.        
  34.     when state3 =>
  35.         if sens(1)='0' then
  36.             pr_state<=state0;
  37.         end if;
  38.        
  39.     when state5 =>
  40.         if sens(0)='0' then
  41.             pr_state<=state0;
  42.         end if;
  43.        
  44.     when state6 =>
  45.         if sens(0)='0' then
  46.             pr_state<=state0;
  47.         end if;
  48. end case;
  49. end process;
Add Comment
Please, Sign In to add comment