Advertisement
Guest User

Untitled

a guest
Apr 22nd, 2019
108
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. class spi_flash_component extends uvm_sequence_item ;
  2.  
  3. //-------------------------------------------------------------------------------
  4. // Items
  5. //-------------------------------------------------------------------------------
  6.  
  7.   /**** Discribe them in this feald ****/
  8.   // rand logic [3:0] .. ;
  9.  
  10. //-------------------------------------------------------------------------------
  11. // Factory register
  12. //-------------------------------------------------------------------------------
  13.  
  14.   `uvm_object_utils(spi_flash_component)
  15.   //`uvm_object_utils_begin(spi_flash_component)
  16.     /**** `uvm_field_* macro invocations here ****/
  17.   //`uvm_object_utils_end
  18.  
  19. //-------------------------------------------------------------------------------
  20. // Functions and Tasks
  21. //-------------------------------------------------------------------------------
  22.  
  23.   // Constructor
  24.   function new(string name = "spi_flash_component");
  25.     super.new(name);
  26.   endfunction
  27.  
  28. endclass
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement