Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- class spi_flash_component extends uvm_sequence_item ;
- //-------------------------------------------------------------------------------
- // Items
- //-------------------------------------------------------------------------------
- /**** Discribe them in this feald ****/
- // rand logic [3:0] .. ;
- //-------------------------------------------------------------------------------
- // Factory register
- //-------------------------------------------------------------------------------
- `uvm_object_utils(spi_flash_component)
- //`uvm_object_utils_begin(spi_flash_component)
- /**** `uvm_field_* macro invocations here ****/
- //`uvm_object_utils_end
- //-------------------------------------------------------------------------------
- // Functions and Tasks
- //-------------------------------------------------------------------------------
- // Constructor
- function new(string name = "spi_flash_component");
- super.new(name);
- endfunction
- endclass
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement