Advertisement
asurkis

Untitled

Mar 13th, 2021
1,555
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module test;
  4.  
  5. reg reset_reg, clk_reg;
  6. wire clk, reset;
  7. reg [7:0] a;
  8. reg [7:0] b;
  9. wire busy;
  10. wire [7:0] y_bo;
  11.  
  12. accelerator accelerator_1(
  13.     .clk_i(clk_reg),
  14.     .rst_i(reset),
  15.     .a_in(a),
  16.     .b_in(b),
  17.     // .start_i(start_reg),
  18.     .busy_out(busy),
  19.     .y_out(y_bo)
  20. ); // */
  21.  
  22. /* mult mult_1(
  23.     .clk_i(clk_reg),
  24.     .rst_i(reset),
  25.     .a_bi(a),
  26.     .b_bi(b),
  27.     .busy_o(busy),
  28.     .y_bo(y_bo)
  29. ); // */
  30.  
  31. assign reset = reset_reg;
  32. assign clk = clk_reg;
  33.  
  34. initial begin
  35.     clk_reg = 1;
  36.     forever
  37.         #10 clk_reg = ~clk_reg;
  38. end
  39.  
  40. initial begin
  41.     a <= 0;
  42.     b <= 0;
  43.     reset_reg <= 1;
  44. end
  45.  
  46. always @(posedge clk_reg) begin
  47.     if (reset_reg) begin
  48.         reset_reg = 0;
  49.     end
  50.    
  51.     if (!busy) begin
  52.         $display("floor sqrt ( %d + floor cbrt  %d ) = %d", a, b, y_bo);
  53.         if (!reset_reg) begin
  54.             a <= a + 1;
  55.             b <= b + 1;
  56.             reset_reg <= 1;
  57.         end
  58.     end
  59. end
  60.  
  61. endmodule
  62.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement