Advertisement
Guest User

Untitled

a guest
Mar 21st, 2018
90
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.88 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. use work.all;
  5.  
  6. entity BinToHex is
  7. port(
  8. bin: in std_logic_vector(3 downto 0);
  9. Sseg: out std_logic_vector(6 downto 0));
  10. end;
  11.  
  12. architecture casestatement of BinToHex is
  13. begin
  14.     process(bin)
  15.     begin
  16.     sseg<="1111111";
  17.             case bin is
  18.                 when "0000"=>Sseg<="1000000";
  19.                 when "0001"=>Sseg<="1111001";
  20.                 when "0010"=>Sseg<="0100100";
  21.                 when "0011"=>Sseg<="0110000";
  22.                 when "0100"=>Sseg<="0011001";
  23.                 when "0101"=>Sseg<="0010010";
  24.                 when "0111"=>Sseg<="0000011";
  25.                 when "1000"=>Sseg<="0000000";
  26.                 when "1001"=>Sseg<="0011000";
  27.                 when "1010"=>Sseg<="0001110";
  28.                 when "1011"=>Sseg<="0000000";
  29.                 when "1100"=>Sseg<="1000110";
  30.                 when "1101"=>Sseg<="1000000";
  31.                 when "1110"=>Sseg<="0000110";
  32.                 when "1111"=>Sseg<="0001110";
  33.                 when others=>null;
  34.             end case;
  35.     end process;
  36. end;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement