Advertisement
markkurvers

coffee_moore_v1_0

Mar 11th, 2021
463
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. `timescale 1 ns / 1 ps
  3.  
  4.     module coffee_moore_v1_0 #
  5.     (
  6.         // Users to add parameters here
  7.  
  8.         // User parameters ends
  9.         // Do not modify the parameters beyond this line
  10.  
  11.  
  12.         // Parameters of Axi Slave Bus Interface S00_AXI
  13.         parameter integer C_S00_AXI_DATA_WIDTH  = 32,
  14.         parameter integer C_S00_AXI_ADDR_WIDTH  = 4
  15.     )
  16.     (
  17.         // Users to add ports here
  18.         input wire reset,
  19.         input wire insert,
  20.         input wire [1:0]coins,
  21.         output wire coffee,
  22.         output wire [2:0] state_display,
  23.         // User ports ends
  24.         // Do not modify the ports beyond this line
  25.  
  26.  
  27.         // Ports of Axi Slave Bus Interface S00_AXI
  28.         input wire  s00_axi_aclk,
  29.         input wire  s00_axi_aresetn,
  30.         input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_awaddr,
  31.         input wire [2 : 0] s00_axi_awprot,
  32.         input wire  s00_axi_awvalid,
  33.         output wire  s00_axi_awready,
  34.         input wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_wdata,
  35.         input wire [(C_S00_AXI_DATA_WIDTH/8)-1 : 0] s00_axi_wstrb,
  36.         input wire  s00_axi_wvalid,
  37.         output wire  s00_axi_wready,
  38.         output wire [1 : 0] s00_axi_bresp,
  39.         output wire  s00_axi_bvalid,
  40.         input wire  s00_axi_bready,
  41.         input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_araddr,
  42.         input wire [2 : 0] s00_axi_arprot,
  43.         input wire  s00_axi_arvalid,
  44.         output wire  s00_axi_arready,
  45.         output wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_rdata,
  46.         output wire [1 : 0] s00_axi_rresp,
  47.         output wire  s00_axi_rvalid,
  48.         input wire  s00_axi_rready
  49.     );
  50. // Instantiation of Axi Bus Interface S00_AXI
  51.     coffee_moore_v1_0_S00_AXI # (
  52.         .C_S_AXI_DATA_WIDTH(C_S00_AXI_DATA_WIDTH),
  53.         .C_S_AXI_ADDR_WIDTH(C_S00_AXI_ADDR_WIDTH)
  54.     ) coffee_moore_v1_0_S00_AXI_inst (
  55.         .S_AXI_ACLK(s00_axi_aclk),
  56.         .S_AXI_ARESETN(s00_axi_aresetn),
  57.         .S_AXI_AWADDR(s00_axi_awaddr),
  58.         .S_AXI_AWPROT(s00_axi_awprot),
  59.         .S_AXI_AWVALID(s00_axi_awvalid),
  60.         .S_AXI_AWREADY(s00_axi_awready),
  61.         .S_AXI_WDATA(s00_axi_wdata),
  62.         .S_AXI_WSTRB(s00_axi_wstrb),
  63.         .S_AXI_WVALID(s00_axi_wvalid),
  64.         .S_AXI_WREADY(s00_axi_wready),
  65.         .S_AXI_BRESP(s00_axi_bresp),
  66.         .S_AXI_BVALID(s00_axi_bvalid),
  67.         .S_AXI_BREADY(s00_axi_bready),
  68.         .S_AXI_ARADDR(s00_axi_araddr),
  69.         .S_AXI_ARPROT(s00_axi_arprot),
  70.         .S_AXI_ARVALID(s00_axi_arvalid),
  71.         .S_AXI_ARREADY(s00_axi_arready),
  72.         .S_AXI_RDATA(s00_axi_rdata),
  73.         .S_AXI_RRESP(s00_axi_rresp),
  74.         .S_AXI_RVALID(s00_axi_rvalid),
  75.         .S_AXI_RREADY(s00_axi_rready),
  76.         .coffee(coffee),
  77.         .state_display(state_display),
  78.         .insert(insert),
  79.         .reset(reset),
  80.         .coins(coins)
  81.     );
  82.  
  83.     // Add user logic here
  84.  
  85.     // User logic ends
  86.  
  87.     endmodule
  88.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement