Guest User

Untitled

a guest
Oct 18th, 2018
72
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.74 KB | None | 0 0
  1. static u32 XFpga_GetBitstreamInfo(UINTPTR WrAddr,
  2. u32 *BitstreamAddress,
  3. u32 *BitstreamSize) {
  4. xil_printf("------1----Inside XFpga_GetBitstreamInfo------rn");
  5. u32 Status = XFPGA_SUCCESS;
  6. u32 PartHeaderOffset;
  7. u32 BitstreamOffset;
  8.  
  9. xil_printf("------2----Before PartHeaderOffset------rn");
  10. PartHeaderOffset = *((UINTPTR *)(WrAddr + PARTATION_HEADER_OFFSET));
  11. xil_printf("------3----Before BitstreamOffset------rn");
  12. xil_printf("------ART----(WrAddr + PartHeaderOffset + BITSTREAM_PARTATION_OFFSET)=%p------rn",(WrAddr + PartHeaderOffset + BITSTREAM_PARTATION_OFFSET));
  13. xil_printf("------ART----*((UINTPTR *)(WrAddr + PartHeaderOffset + BITSTREAM_PARTATION_OFFSET)) = %u ------rn", *((UINTPTR *)(WrAddr + PartHeaderOffset + BITSTREAM_PARTATION_OFFSET)));
  14. BitstreamOffset = *((UINTPTR *)(WrAddr + PartHeaderOffset + BITSTREAM_PARTATION_OFFSET));
  15. xil_printf("------4.1----Before *BitstreamAddress------rn");
  16. xil_printf("------4.2----Before *BitstreamAddress------rn");
  17. xil_printf("------4.3----Before *BitstreamAddress------rn");
  18. *BitstreamAddress = (BitstreamOffset * WORD_LEN) + WrAddr;
  19. xil_printf("------5.1----Before *BitstreamSize------rn");
  20. xil_printf("------5.1----Before *BitstreamSize------rn");
  21. *BitstreamSize = *((UINTPTR *)(WrAddr + PartHeaderOffset)) * WORD_LEN;
  22.  
  23. return Status;
  24. }
  25.  
  26. ------1----Inside XFpga_GetBitstreamInfo------
  27. ------2----Before PartHeaderOffset------
  28. ------3----Before BitstreamOffset------
  29. ------ART----(WrAddr + PartHeaderOffset + BITSTREAM_PARTATION_OFFSET)=7030001F------
  30. ------4.2----Before *BitstreamAddress------
  31. ------4.3----Before *BitstreamAddress------
  32. ------5.1----Before *BitstreamSize------
  33. ------5.1----Before *BitstreamSize------
Add Comment
Please, Sign In to add comment