Advertisement
Guest User

Untitled

a guest
Oct 6th, 2017
66
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.81 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity Extensor is
  4.     port
  5.     (
  6.         X,Y,Z,a,b           : in STD_logic_vector(3 downto 0);
  7.         Ia,Ib,cin           : out STD_logic_vector(3 downto 0)
  8.     );
  9. end Extensor;
  10.  
  11. architecture Estrutural of Extensor is
  12. begin
  13.     ia <= (not(x) and a) or (x and y and z and not(a));
  14.     ib <= (not(x) and not(y) and not(z) and b) or (not(x) and not(y) and z and not(b));
  15.     cin(0) <= (y and not(z) and not(a) and b) or (x and not(y) and a and b) or (not(x) and y and not(z)) or (not(x) and not(y) and z) or (not(y) and z and b) or (not (y) and z and a) or (y and not(z) and a and not(b));
  16.     cin(3 downto 1) <= (x and y and not(z) and not(a) and b) or (x and y and not(z) and a and not(b)) or (x and not(y) and z and b) or (x and not (y) and z and a) or (x and not (y) and a and b);
  17. end Estrutural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement