Advertisement
Guest User

Untitled

a guest
Dec 18th, 2018
77
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.01 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3. USE ieee.std_logic_arith.all;
  4. ENTITY test_bench IS
  5. END ENTITY test_bench;
  6. ARCHITECTURE a OF test_bench IS
  7.  
  8. -------------------------------------------------------------------
  9.     signal tbclk : std_logic;
  10.     signal tbdin : std_logic:='0';
  11.     signal tbdintabl : integer := 0;
  12.     signal tbrst : std_logic:='0';
  13.     signal tbrfd : std_logic:='1';
  14.     signal tbdv :  std_logic:='1';
  15.     signal tbdout : std_logic_vector(999 downto 0);
  16.    
  17.     component readfile is
  18.         generic(
  19.             file_name : string := "C:\Users\Alex\peremezh.dat");
  20.         port(
  21.             data : out integer := 0;
  22.             dv: out std_logic;
  23.             rst : in std_logic;
  24.             rfd : in std_logic;
  25.             clk : in std_logic);
  26.         end component;
  27.      
  28.     component koder IS
  29.     port(
  30.            clk : in STD_LOGIC;
  31.            dintabl : in integer := 0;
  32.            din: in std_logic := '0');
  33.     end component;
  34.    
  35.     component peremezh is
  36.      Port (clk : in std_logic;
  37.            din : in std_logic;
  38.            dintabl : in integer := 0;
  39.            dout : out std_logic_vector(999 downto 0));
  40.     end component;
  41.  
  42. -----------------------------------------------------------------
  43.  
  44. BEGIN
  45.  
  46. generate_clk : process begin
  47.     loop
  48.         tbclk <= '1';
  49.     wait for 25 ns;
  50.         tbclk <= '0';
  51.     wait for 25 ns;
  52.     end loop;
  53. end process;
  54.  
  55. process(tbclk) begin
  56.     if rising_edge(tbclk) then
  57.         if tbdin = '1' then
  58.             tbdin <= '0';
  59.         else
  60.             tbdin <= '1';
  61.         end if;
  62.     end if;
  63. end process;
  64.  
  65. read : ReadFile
  66.     port map (
  67.         clk => tbclk,
  68.         rfd => tbrfd,
  69.         rst => tbrst,
  70.         data => tbdintabl);
  71.        
  72. tabl : peremezh
  73.     port map(
  74.         clk => tbclk,
  75.         din => tbdin,
  76.         dintabl => tbdintabl,
  77.         dout => tbdout);
  78.            
  79. uut : koder
  80.     Port map (
  81.          clk => tbclk,
  82.          dintabl => tbdintabl,
  83.          din => tbdin);
  84. END  a;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement