Advertisement
tariq786

ethernet_test_top_tb

Jul 28th, 2014
306
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.25 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2.  
  3. ////////////////////////////////////////////////////////////////////////////////
  4. // Company:
  5. // Engineer:
  6. //
  7. // Create Date: 14:05:00 02/28/2011
  8. // Design Name: ethernet_test_top
  9. // Module Name: C:/Users/Administrator/Desktop/Xilinx/atlys_ethernet_test/ethernet_test_top_tb.v
  10. // Project Name: atlys_ethernet_test
  11. // Target Device:
  12. // Tool versions:
  13. // Description:
  14. //
  15. // Verilog Test Fixture created by ISE for module: ethernet_test_top
  16. //
  17. // Dependencies:
  18. //
  19. // Revision:
  20. // Revision 0.01 - File Created
  21. // Additional Comments:
  22. //
  23. ////////////////////////////////////////////////////////////////////////////////
  24.  
  25. module ethernet_test_top_tb;
  26.  
  27. // Inputs
  28. reg clk_100_pin;
  29. reg MII_TX_CLK_pin;
  30. wire [7:0] GMII_RXD_pin;
  31. wire GMII_RX_DV_pin;
  32. reg GMII_RX_ER_pin;
  33. reg GMII_RX_CLK_pin;
  34. reg [7:0] sw;
  35. reg [5:0] btn;
  36.  
  37. // Outputs
  38. wire PhyResetOut_pin;
  39. wire [7:0] GMII_TXD_pin;
  40. wire GMII_TX_EN_pin;
  41. wire GMII_TX_ER_pin;
  42. wire GMII_TX_CLK_pin;
  43. wire MDC_pin;
  44. wire [7:0] leds;
  45.  
  46. wire rs232_tx;
  47.  
  48. // Bidirs
  49. wire MDIO_pin;
  50.  
  51. // Instantiate the Unit Under Test (UUT)
  52.  
  53. /*ethernet_test_top AUTO_TEMPLATE
  54. (
  55.  
  56. );
  57. */
  58.  
  59. ethernet_test_top DUT (/*AUTOINST*/
  60. // Outputs
  61. .PhyResetOut_pin (PhyResetOut_pin),
  62. .GMII_TXD_pin (GMII_TXD_pin[7:0]),
  63. .GMII_TX_EN_pin (GMII_TX_EN_pin),
  64. .GMII_TX_ER_pin (GMII_TX_ER_pin),
  65. .GMII_TX_CLK_pin (GMII_TX_CLK_pin),
  66. .MDC_pin (MDC_pin),
  67. .leds (leds[7:0]),
  68. .rs232_tx (rs232_tx),
  69. // Inouts
  70. .MDIO_pin (MDIO_pin),
  71. // Inputs
  72. .clk_100_pin (clk_100_pin),
  73. .MII_TX_CLK_pin (MII_TX_CLK_pin),
  74. .GMII_RXD_pin (GMII_RXD_pin[7:0]),
  75. .GMII_RX_DV_pin (GMII_RX_DV_pin),
  76. .GMII_RX_ER_pin (GMII_RX_ER_pin),
  77. .GMII_RX_CLK_pin (GMII_RX_CLK_pin),
  78. .btn (btn[5:0]));
  79.  
  80.  
  81.  
  82. // Loopback
  83. assign GMII_RX_DV_pin = GMII_TX_EN_pin;
  84. assign GMII_RXD_pin = GMII_TXD_pin;
  85. wire GMII_RX_CLK = GMII_TX_CLK_pin; //derived from TX clk
  86.  
  87.  
  88. initial
  89. begin
  90. // Initialize Inputs
  91. clk_100_pin = 0;
  92. MII_TX_CLK_pin = 0;
  93. GMII_RX_CLK_pin = 0;
  94. //sw = 0;
  95. btn = 0;
  96. // Wait 100 ns for global reset to finish
  97. #100;
  98.  
  99. // Add stimulus here
  100.  
  101. end
  102.  
  103. always
  104. begin
  105. #8;
  106. GMII_RX_CLK_pin = ~GMII_RX_CLK_pin;
  107. end
  108.  
  109. always
  110. begin
  111. #10;
  112. clk_100_pin = ~clk_100_pin;
  113. end
  114.  
  115. initial
  116. begin
  117. $vcdpluson;
  118. #100000;
  119. $finish;
  120. end
  121.  
  122.  
  123. endmodule // ethernet_test_top_tb
  124. // Local Variables:
  125. // verilog-library-directories:("." "../rtl")
  126. // End:
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement