Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- make -C corev_apu/fpga/src/bootrom BOARD=genesys2 XLEN=64 bootrom_64.sv
- make[1]: Entering directory '/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom'
- make[1]: 'bootrom_64.sv' is up to date.
- make[1]: Leaving directory '/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom'
- [FPGA] Generate sources
- [FPGA] Generate Bitstream
- make -C corev_apu/fpga BOARD=genesys2 XILINX_PART=xc7k325tffg900-2 XILINX_BOARD=digilentinc.com:genesys2:part0:1.1 CLK_PERIOD_NS=20
- make[1]: Entering directory '/home/bonne/Documents/test/cva6/corev_apu/fpga'
- mkdir -p work-fpga
- vivado -nojournal -mode batch -source scripts/prologue.tcl -source scripts/run.tcl
- ****** Vivado v2024.1 (64-bit)
- **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
- **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
- **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
- **** Start of session at: Mon Jun 24 20:53:03 2024
- ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
- ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
- source scripts/prologue.tcl
- # set project ariane
- # create_project $project . -force -part $::env(XILINX_PART)
- create_project: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1410.242 ; gain = 0.023 ; free physical = 23436 ; free virtual = 28300
- # set_property board_part $::env(XILINX_BOARD) [current_project]
- # set_param general.maxThreads 8
- # set_msg_config -id {[Synth 8-5858]} -new_severity "info"
- # set_msg_config -id {[Synth 8-4480]} -limit 1000
- source scripts/run.tcl
- # if {$::env(BOARD) eq "genesys2"} {
- # add_files -fileset constrs_1 -norecurse constraints/genesys-2.xdc
- # } elseif {$::env(BOARD) eq "kc705"} {
- # add_files -fileset constrs_1 -norecurse constraints/kc705.xdc
- # } elseif {$::env(BOARD) eq "vc707"} {
- # add_files -fileset constrs_1 -norecurse constraints/vc707.xdc
- # } elseif {$::env(BOARD) eq "nexys_video"} {
- # add_files -fileset constrs_1 -norecurse constraints/nexys_video.xdc
- # } else {
- # exit 1
- # }
- # read_ip { \
- # "xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.srcs/sources_1/ip/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.xci" \
- # "xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.srcs/sources_1/ip/xlnx_axi_clock_converter/xlnx_axi_clock_converter.xci" \
- # "xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.srcs/sources_1/ip/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.xci" \
- # "xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.xci" \
- # "xilinx/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.xci" \
- # "xilinx/xlnx_axi_gpio/xlnx_axi_gpio.srcs/sources_1/ip/xlnx_axi_gpio/xlnx_axi_gpio.xci" \
- # "xilinx/xlnx_axi_quad_spi/xlnx_axi_quad_spi.srcs/sources_1/ip/xlnx_axi_quad_spi/xlnx_axi_quad_spi.xci" \
- # "xilinx/xlnx_clk_gen/xlnx_clk_gen.srcs/sources_1/ip/xlnx_clk_gen/xlnx_clk_gen.xci" \
- # }
- INFO: [IP_Flow 19-234] Refreshing IP repositories
- INFO: [IP_Flow 19-1704] No user IP repositories specified
- INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/bonne/Software/Vivado/2024.1/data/ip'.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.srcs/sources_1/ip/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.gen/sources_1/ip/xlnx_mig_7_ddr3'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.srcs/sources_1/ip/xlnx_axi_clock_converter/xlnx_axi_clock_converter.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.gen/sources_1/ip/xlnx_axi_clock_converter'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.srcs/sources_1/ip/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.gen/sources_1/ip/xlnx_axi_dwidth_converter'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.gen/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.gen/sources_1/ip/xlnx_axi_dwidth_converter_dm_master'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_gpio/xlnx_axi_gpio.srcs/sources_1/ip/xlnx_axi_gpio/xlnx_axi_gpio.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_gpio/xlnx_axi_gpio.gen/sources_1/ip/xlnx_axi_gpio'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/xlnx_axi_quad_spi.srcs/sources_1/ip/xlnx_axi_quad_spi/xlnx_axi_quad_spi.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/xlnx_axi_quad_spi.gen/sources_1/ip/xlnx_axi_quad_spi'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_clk_gen/xlnx_clk_gen.srcs/sources_1/ip/xlnx_clk_gen/xlnx_clk_gen.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_clk_gen/xlnx_clk_gen.gen/sources_1/ip/xlnx_clk_gen'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
- # set_property include_dirs { \
- # "src/axi_sd_bridge/include" \
- # "../../vendor/pulp-platform/common_cells/include" \
- # "../../vendor/pulp-platform/axi/include" \
- # "../../core/cache_subsystem/hpdcache/rtl/include" \
- # "../register_interface/include" \
- # "../../core/include" \
- # } [current_fileset]
- # source scripts/add_sources.tcl
- ## read_vhdl {/home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/apb_uart.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_clock_div.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_counter.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_edge_detect.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_fifo.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_input_filter.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_input_sync.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_mv_filter.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_baudgen.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_interrupt.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_receiver.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_transmitter.vhd}
- ## read_verilog -sv {/home/bonne/Documents/test/cva6/corev_apu/tb/ariane_axi_pkg.sv /home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv /home/bonne/Documents/test/cva6/corev_apu/register_interface/src/reg_intf.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_soc_pkg.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_pkg.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_axi_soc_pkg.sv}
- ## read_verilog -sv {/home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncDpRam.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/AsyncDpRam.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/AsyncThreePortRam.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_pkg.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_cast_multi.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_classifier.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_divsqrt_multi.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_fma_multi.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_fma.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_noncomp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_opgroup_block.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_opgroup_fmt_slice.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_opgroup_multifmt_slice.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_rounding.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_top.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv /home/bonne/Documents/test/cva6/core/include/config_pkg.sv /home/bonne/Documents/test/cva6/core/include/cv64a6_imafdc_sv39_config_pkg.sv /home/bonne/Documents/test/cva6/core/include/riscv_pkg.sv /home/bonne/Documents/test/cva6/core/include/ariane_pkg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_pkg.sv /home/bonne/Documents/test/cva6/core/include/wt_cache_pkg.sv /home/bonne/Documents/test/cva6/core/include/std_cache_pkg.sv /home/bonne/Documents/test/cva6/core/include/build_config_pkg.sv /home/bonne/Documents/test/cva6/core/include/cvxif_pkg.sv /home/bonne/Documents/test/cva6/core/cvxif_example/include/cvxif_instr_pkg.sv /home/bonne/Documents/test/cva6/core/cvxif_fu.sv /home/bonne/Documents/test/cva6/core/cvxif_example/cvxif_example_coprocessor.sv /home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cf_math_pkg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr_8bit.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_arbiter.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_arbiter_flushable.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_mux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_demux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/shift_reg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/unread.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/popcount.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/exp_backoff.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv /home/bonne/Documents/test/cva6/core/cva6.sv /home/bonne/Documents/test/cva6/core/cva6_rvfi_probes.sv /home/bonne/Documents/test/cva6/core/alu.sv /home/bonne/Documents/test/cva6/core/fpu_wrap.sv /home/bonne/Documents/test/cva6/core/branch_unit.sv /home/bonne/Documents/test/cva6/core/compressed_decoder.sv /home/bonne/Documents/test/cva6/core/macro_decoder.sv /home/bonne/Documents/test/cva6/core/controller.sv /home/bonne/Documents/test/cva6/core/csr_buffer.sv /home/bonne/Documents/test/cva6/core/csr_regfile.sv /home/bonne/Documents/test/cva6/core/decoder.sv /home/bonne/Documents/test/cva6/core/ex_stage.sv /home/bonne/Documents/test/cva6/core/instr_realign.sv /home/bonne/Documents/test/cva6/core/id_stage.sv /home/bonne/Documents/test/cva6/core/issue_read_operands.sv /home/bonne/Documents/test/cva6/core/issue_stage.sv /home/bonne/Documents/test/cva6/core/load_unit.sv /home/bonne/Documents/test/cva6/core/load_store_unit.sv /home/bonne/Documents/test/cva6/core/lsu_bypass.sv /home/bonne/Documents/test/cva6/core/mult.sv /home/bonne/Documents/test/cva6/core/multiplier.sv /home/bonne/Documents/test/cva6/core/serdiv.sv /home/bonne/Documents/test/cva6/core/perf_counters.sv /home/bonne/Documents/test/cva6/core/ariane_regfile_ff.sv /home/bonne/Documents/test/cva6/core/ariane_regfile_fpga.sv /home/bonne/Documents/test/cva6/core/scoreboard.sv /home/bonne/Documents/test/cva6/core/round_interval.sv /home/bonne/Documents/test/cva6/core/store_buffer.sv /home/bonne/Documents/test/cva6/core/amo_buffer.sv /home/bonne/Documents/test/cva6/core/store_unit.sv /home/bonne/Documents/test/cva6/core/commit_stage.sv /home/bonne/Documents/test/cva6/core/axi_shim.sv /home/bonne/Documents/test/cva6/core/cva6_accel_first_pass_decoder_stub.sv /home/bonne/Documents/test/cva6/core/acc_dispatcher.sv /home/bonne/Documents/test/cva6/core/cva6_fifo_v3.sv /home/bonne/Documents/test/cva6/core/frontend/btb.sv /home/bonne/Documents/test/cva6/core/frontend/bht.sv /home/bonne/Documents/test/cva6/core/frontend/ras.sv /home/bonne/Documents/test/cva6/core/frontend/instr_scan.sv /home/bonne/Documents/test/cva6/core/frontend/instr_queue.sv /home/bonne/Documents/test/cva6/core/frontend/frontend.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_mem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_wbuffer.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_cache_subsystem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_axi_adapter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/tag_cmp.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/miss_handler.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cache_ctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/std_cache_subsystem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/std_nbdcache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_pkg.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_demux.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_lfsr.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sync_buffer.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_fifo_reg.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_fifo_reg_initialized.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_fxarb.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_rrarb.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_mux.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_1hot_to_binary.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_prio_1hot_encoder.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sram.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sram_wbyteenable.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sram_wmask.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_regbank_wbyteenable_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_regbank_wmask_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_data_downsize.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_data_upsize.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride_pkg.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride_arb.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride_wrapper.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_amo.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_cmo.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_core_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_ctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_ctrl_pe.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_memctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_miss_handler.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_mshr.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_plru.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_rtab.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_uncached.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_victim_sel.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_wbuf.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_req_read_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_req_write_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_resp_demux.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_to_axi_read.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_to_axi_write.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_subsystem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_subsystem_axi_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_if_adapter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_wrapper.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/macros/behav/hpdcache_sram_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/macros/behav/hpdcache_sram_wbyteenable_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/macros/behav/hpdcache_sram_wmask_1rw.sv /home/bonne/Documents/test/cva6/core/pmp/src/pmp.sv /home/bonne/Documents/test/cva6/core/pmp/src/pmp_entry.sv /home/bonne/Documents/test/cva6/common/local/util/tc_sram_wrapper_cache_techno.sv /home/bonne/Documents/test/cva6/common/local/util/sram.sv /home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_mmu.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_ptw.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_tlb.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_shared_tlb.sv}
- ## read_verilog -sv {/home/bonne/Documents/test/cva6/core/cva6_rvfi.sv /home/bonne/Documents/test/cva6/corev_apu/src/ariane.sv /home/bonne/Documents/test/cva6/corev_apu/clint/axi_lite_interface.sv /home/bonne/Documents/test/cva6/corev_apu/clint/clint.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi2apb/src/axi2apb_64_32.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi2apb/src/axi2apb.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi2apb/src/axi2apb_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_timer/apb_timer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_timer/timer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_ar_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_aw_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_b_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_r_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_single_slice.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_slice.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_slice_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_w_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_res_tbl.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_amos_alu.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_amos.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_atomics.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_atomics_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_lrsc.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_lrsc_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/rv_plic_target.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/rv_plic_gateway.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/plic_regmap.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/plic_top.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_cdc.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_top.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/debug_rom/debug_rom.sv /home/bonne/Documents/test/cva6/corev_apu/register_interface/src/apb_to_reg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_multicut.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen_bypass.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/addr_decode.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_register.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_cut.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_join.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_delayer.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_to_axi_lite.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_id_prepend.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_err_slv.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_mux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v1.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_delay.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr_16bit.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/cluster_clk_cells.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/pulp_clk_cells.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_testharness.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_peripherals.sv /home/bonne/Documents/test/cva6/corev_apu/tb/rvfi_tracer.sv /home/bonne/Documents/test/cva6/corev_apu/tb/common/uart.sv /home/bonne/Documents/test/cva6/corev_apu/tb/common/SimDTM.sv /home/bonne/Documents/test/cva6/corev_apu/tb/common/SimJTAG.sv}
- ## read_verilog -sv {/home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane_peripherals_xilinx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane_xilinx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/fan_ctrl.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/axis_gmii_rx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/axis_gmii_tx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/dualmem_widen8.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/dualmem_widen.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/eth_mac_1g_rgmii_fifo.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/eth_mac_1g_rgmii.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/eth_mac_1g.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/framing_top.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/iddr.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/oddr.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_core.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_lfsr.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_phy_if.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_soc.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/ssio_ddr_in.sv /home/bonne/Documents/test/cva6/common/local/util/tc_sram_fpga_wrapper.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncSpRamBeNx64.sv src/bootrom/bootrom_64.sv}
- # set_property top ${project}_xilinx [current_fileset]
- # if {$::env(BOARD) eq "genesys2"} {
- # read_verilog -sv {src/genesysii.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
- # set file "src/genesysii.svh"
- # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
- # } elseif {$::env(BOARD) eq "kc705"} {
- # read_verilog -sv {src/kc705.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
- # set file "src/kc705.svh"
- # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
- # } elseif {$::env(BOARD) eq "vc707"} {
- # read_verilog -sv {src/vc707.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
- # set file "src/vc707.svh"
- # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
- # } elseif {$::env(BOARD) eq "nexys_video"} {
- # read_verilog -sv {src/nexys_video.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
- # set file "src/nexys_video.svh"
- # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
- # } else {
- # exit 1
- # }
- # set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file" "$registers"]]
- # set_property -dict { file_type {Verilog Header} is_global_include 1} -objects $file_obj
- # update_compile_order -fileset sources_1
- # add_files -fileset constrs_1 -norecurse constraints/$project.xdc
- # synth_design -rtl -name rtl_1
- Command: synth_design -rtl -name rtl_1
- Starting synth_design
- Using part: xc7k325tffg900-2
- Top: ariane_xilinx
- INFO: [Device 21-403] Loading part xc7k325tffg900-2
- INFO: [Device 21-9227] Part: xc7k325tffg900-2 does not have CEAM library.
- INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
- INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
- INFO: [Synth 8-7075] Helper process launched with PID 11052
- ---------------------------------------------------------------------------------
- Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 2255.484 ; gain = 368.691 ; free physical = 22250 ; free virtual = 27117
- ---------------------------------------------------------------------------------
- WARNING: [Synth 8-9661] initial value of parameter 'HPDcacheCfg' is omitted [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_wrapper.sv:20]
- WARNING: [Synth 8-11067] parameter 'NbInstr' declared inside package 'cvxif_instr_pkg' shall be treated as localparam [/home/bonne/Documents/test/cva6/core/cvxif_example/include/cvxif_instr_pkg.sv:19]
- WARNING: [Synth 8-11067] parameter 'CoproInstr' declared inside package 'cvxif_instr_pkg' shall be treated as localparam [/home/bonne/Documents/test/cva6/core/cvxif_example/include/cvxif_instr_pkg.sv:20]
- WARNING: [Synth 8-11065] parameter 'NR_BYPASS_PORTS' becomes localparam in 'miss_handler' with formal parameter declaration list [/home/bonne/Documents/test/cva6/core/cache_subsystem/miss_handler.sv:72]
- WARNING: [Synth 8-11065] parameter 'STYLE_INT' becomes localparam in 'rgmii_lfsr' with formal parameter declaration list [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_lfsr.sv:364]
- INFO: [Synth 8-6157] synthesizing module 'ariane_xilinx' [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane_xilinx.sv:14]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 4 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 4 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
- Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'bootrom_64' [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom/bootrom_64.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'bootrom_64' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom/bootrom_64.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'rstgen' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'rstgen_bypass' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen_bypass.sv:15]
- INFO: [Synth 8-6155] done synthesizing module 'rstgen_bypass' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen_bypass.sv:15]
- INFO: [Synth 8-6155] done synthesizing module 'rstgen' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'axi_xbar_intf' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:242]
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- Parameter Cfg[NoSlvPorts] bound to: 32'b00000000000000000000000000000010
- Parameter Cfg[NoMstPorts] bound to: 32'b00000000000000000000000000001010
- Parameter Cfg[MaxMstTrans] bound to: 32'b00000000000000000000000000000001
- Parameter Cfg[MaxSlvTrans] bound to: 32'b00000000000000000000000000000001
- Parameter Cfg[FallThrough] bound to: 1'b0
- Parameter Cfg[LatencyMode] bound to: 10'b1111111111
- Parameter Cfg[AxiIdWidthSlvPorts] bound to: 32'b00000000000000000000000000000100
- Parameter Cfg[AxiIdUsedSlvPorts] bound to: 32'b00000000000000000000000000000100
- Parameter Cfg[UniqueIds] bound to: 1'b0
- Parameter Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter Cfg[NoAddrRules] bound to: 32'b00000000000000000000000000001010
- INFO: [Synth 8-6157] synthesizing module 'axi_xbar' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:18]
- Parameter Cfg[NoSlvPorts] bound to: 32'b00000000000000000000000000000010
- Parameter Cfg[NoMstPorts] bound to: 32'b00000000000000000000000000001010
- Parameter Cfg[MaxMstTrans] bound to: 32'b00000000000000000000000000000001
- Parameter Cfg[MaxSlvTrans] bound to: 32'b00000000000000000000000000000001
- Parameter Cfg[FallThrough] bound to: 1'b0
- Parameter Cfg[LatencyMode] bound to: 10'b1111111111
- Parameter Cfg[AxiIdWidthSlvPorts] bound to: 32'b00000000000000000000000000000100
- Parameter Cfg[AxiIdUsedSlvPorts] bound to: 32'b00000000000000000000000000000100
- Parameter Cfg[UniqueIds] bound to: 1'b0
- Parameter Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter Cfg[NoAddrRules] bound to: 32'b00000000000000000000000000001010
- INFO: [Synth 8-6157] synthesizing module 'addr_decode' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/addr_decode.sv:30]
- Parameter NoIndices bound to: 32'b00000000000000000000000000001010
- Parameter NoRules bound to: 32'b00000000000000000000000000001010
- INFO: [Synth 8-6155] done synthesizing module 'addr_decode' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/addr_decode.sv:30]
- INFO: [Synth 8-6157] synthesizing module 'axi_demux' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:19]
- Parameter AxiIdWidth bound to: 32'b00000000000000000000000000000100
- Parameter NoMstPorts bound to: 32'b00000000000000000000000000001011
- Parameter MaxTrans bound to: 32'b00000000000000000000000000000001
- Parameter AxiLookBits bound to: 32'b00000000000000000000000000000100
- Parameter UniqueIds bound to: 1'b0
- Parameter FallThrough bound to: 1'b0
- Parameter SpillAw bound to: 1'b1
- Parameter SpillW bound to: 1'b1
- Parameter SpillB bound to: 1'b1
- Parameter SpillAr bound to: 1'b1
- Parameter SpillR bound to: 1'b1
- INFO: [Synth 8-6157] synthesizing module 'axi_demux_id_counters' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:576]
- Parameter AxiIdBits bound to: 32'b00000000000000000000000000000100
- Parameter CounterWidth bound to: 32'b00000000000000000000000000000001
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-6157] synthesizing module 'delta_counter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
- Parameter WIDTH bound to: 32'b00000000000000000000000000000001
- Parameter STICKY_OVERFLOW bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'delta_counter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
- INFO: [Synth 8-6155] done synthesizing module 'axi_demux_id_counters' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:576]
- INFO: [Synth 8-6157] synthesizing module 'spill_register' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DEPTH bound to: 32'b00000000000000000000000000000001
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- Parameter NumIn bound to: 32'b00000000000000000000000000001011
- Parameter AxiVldRdy bound to: 1'b1
- Parameter LockIn bound to: 1'b1
- INFO: [Synth 8-6157] synthesizing module 'lzc' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
- Parameter WIDTH bound to: 32'b00000000000000000000000000001011
- Parameter MODE bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'lzc' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
- INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- Parameter NumIn bound to: 32'b00000000000000000000000000001011
- Parameter AxiVldRdy bound to: 1'b1
- Parameter LockIn bound to: 1'b1
- INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- INFO: [Synth 8-6155] done synthesizing module 'axi_demux' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'axi_err_slv' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_err_slv.sv:19]
- Parameter AxiIdWidth bound to: 32'b00000000000000000000000000000100
- Parameter Resp bound to: 2'b11
- Parameter ATOPs bound to: 1'b1
- Parameter MaxTrans bound to: 32'b00000000000000000000000000000100
- INFO: [Synth 8-6157] synthesizing module 'axi_atop_filter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:37]
- Parameter AxiIdWidth bound to: 32'b00000000000000000000000000000100
- Parameter AxiMaxWriteTxns bound to: 32'b00000000000000000000000000000100
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:118]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:268]
- INFO: [Synth 8-6157] synthesizing module 'stream_register' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_register.sv:14]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DATA_WIDTH bound to: 32'b00000000000000000000000000001000
- Parameter DEPTH bound to: 32'b00000000000000000000000000000001
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DATA_WIDTH bound to: 32'b00000000000000000000000000001000
- Parameter DEPTH bound to: 32'b00000000000000000000000000000001
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
- INFO: [Synth 8-6155] done synthesizing module 'stream_register' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_register.sv:14]
- INFO: [Synth 8-6155] done synthesizing module 'axi_atop_filter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:37]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b1
- Parameter DEPTH bound to: 32'b00000000000000000000000000000100
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DEPTH bound to: 32'b00000000000000000000000000000010
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DEPTH bound to: 32'b00000000000000000000000000000100
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'counter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
- Parameter WIDTH bound to: 32'b00000000000000000000000000001000
- INFO: [Synth 8-6157] synthesizing module 'delta_counter__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
- Parameter WIDTH bound to: 32'b00000000000000000000000000001000
- Parameter STICKY_OVERFLOW bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'delta_counter__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
- INFO: [Synth 8-6155] done synthesizing module 'counter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
- INFO: [Synth 8-6155] done synthesizing module 'axi_err_slv' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_err_slv.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'axi_mux' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_mux.sv:27]
- Parameter SlvAxiIDWidth bound to: 32'b00000000000000000000000000000100
- Parameter NoSlvPorts bound to: 32'b00000000000000000000000000000010
- Parameter MaxWTrans bound to: 32'b00000000000000000000000000000001
- Parameter FallThrough bound to: 1'b0
- Parameter SpillAw bound to: 1'b1
- Parameter SpillW bound to: 1'b1
- Parameter SpillB bound to: 1'b1
- Parameter SpillAr bound to: 1'b1
- Parameter SpillR bound to: 1'b1
- INFO: [Synth 8-6157] synthesizing module 'axi_id_prepend' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_id_prepend.sv:18]
- Parameter NoBus bound to: 1 - type: integer
- Parameter AxiIdWidthSlvPort bound to: 32'b00000000000000000000000000000100
- Parameter AxiIdWidthMstPort bound to: 32'b00000000000000000000000000000101
- INFO: [Synth 8-6155] done synthesizing module 'axi_id_prepend' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_id_prepend.sv:18]
- INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- Parameter NumIn bound to: 32'b00000000000000000000000000000010
- Parameter AxiVldRdy bound to: 1'b1
- Parameter LockIn bound to: 1'b1
- INFO: [Synth 8-6157] synthesizing module 'lzc__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
- Parameter WIDTH bound to: 32'b00000000000000000000000000000010
- Parameter MODE bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'lzc__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
- INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized4' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DEPTH bound to: 32'b00000000000000000000000000000001
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized4' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized4' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized4' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized4' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized4' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized5' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized5' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized5' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized5' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- Parameter NumIn bound to: 32'b00000000000000000000000000000010
- Parameter AxiVldRdy bound to: 1'b1
- Parameter LockIn bound to: 1'b1
- INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized6' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized6' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized6' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized6' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized7' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized7' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- Parameter Bypass bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized7' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized7' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'axi_mux' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_mux.sv:27]
- INFO: [Synth 8-6155] done synthesizing module 'axi_xbar' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:18]
- INFO: [Synth 8-6155] done synthesizing module 'axi_xbar_intf' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:242]
- INFO: [Synth 8-6157] synthesizing module 'dmi_jtag' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv:19]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv:101]
- INFO: [Synth 8-6157] synthesizing module 'dmi_jtag_tap' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:19]
- Parameter IrLength bound to: 32'b00000000000000000000000000000101
- Parameter IdcodeValue bound to: 1 - type: integer
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:186]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:207]
- INFO: [Synth 8-6157] synthesizing module 'cluster_clock_inverter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/cluster_clk_cells.sv:54]
- INFO: [Synth 8-6157] synthesizing module 'tc_clk_inverter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:55]
- INFO: [Synth 8-6155] done synthesizing module 'tc_clk_inverter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:55]
- INFO: [Synth 8-6155] done synthesizing module 'cluster_clock_inverter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/cluster_clk_cells.sv:54]
- INFO: [Synth 8-6157] synthesizing module 'pulp_clock_mux2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/pulp_clk_cells.sv:66]
- INFO: [Synth 8-6157] synthesizing module 'tc_clk_mux2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:74]
- INFO: [Synth 8-6155] done synthesizing module 'tc_clk_mux2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:74]
- INFO: [Synth 8-6155] done synthesizing module 'pulp_clock_mux2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/pulp_clk_cells.sv:66]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:260]
- INFO: [Synth 8-226] default block is never used [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:260]
- INFO: [Synth 8-6155] done synthesizing module 'dmi_jtag_tap' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'dmi_cdc' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_cdc.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'cdc_2phase' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_src' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
- INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_src' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
- INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_dst' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
- INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_dst' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
- INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'cdc_2phase__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_src__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
- INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_src__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
- INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_dst__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
- INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_dst__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
- INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
- INFO: [Synth 8-6155] done synthesizing module 'dmi_cdc' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_cdc.sv:19]
- INFO: [Synth 8-6155] done synthesizing module 'dmi_jtag' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'dm_top' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_top.sv:20]
- Parameter NrHarts bound to: 32'b00000000000000000000000000000001
- Parameter BusWidth bound to: 32'b00000000000000000000000001000000
- Parameter SelectableHarts bound to: 1'b1
- INFO: [Synth 8-6157] synthesizing module 'dm_csrs' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:18]
- Parameter NrHarts bound to: 32'b00000000000000000000000000000001
- Parameter BusWidth bound to: 32'b00000000000000000000000001000000
- Parameter SelectableHarts bound to: 1'b1
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:294]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:360]
- INFO: [Synth 8-6157] synthesizing module 'fifo_v2__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
- Parameter DEPTH bound to: 32'b00000000000000000000000000000010
- INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized5' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b0
- Parameter DATA_WIDTH bound to: 32'b00000000000000000000000000100000
- Parameter DEPTH bound to: 32'b00000000000000000000000000000010
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized5' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
- INFO: [Synth 8-6155] done synthesizing module 'fifo_v2__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
- INFO: [Synth 8-6155] done synthesizing module 'dm_csrs' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:18]
- INFO: [Synth 8-6157] synthesizing module 'dm_sba' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:18]
- Parameter BusWidth bound to: 32'b00000000000000000000000001000000
- Parameter ReadByteEnable bound to: 1'b1
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:72]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:101]
- INFO: [Synth 8-6155] done synthesizing module 'dm_sba' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:18]
- INFO: [Synth 8-6157] synthesizing module 'dm_mem' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:19]
- Parameter NrHarts bound to: 32'b00000000000000000000000000000001
- Parameter BusWidth bound to: 32'b00000000000000000000000001000000
- Parameter SelectableHarts bound to: 1'b1
- Parameter DmBaseAddress bound to: 32'b00000000000000000001000000000000
- INFO: [Synth 8-6157] synthesizing module 'debug_rom' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/debug_rom/debug_rom.sv:17]
- INFO: [Synth 8-6155] done synthesizing module 'debug_rom' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/debug_rom/debug_rom.sv:17]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:144]
- INFO: [Synth 8-226] default block is never used [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:144]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:242]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:272]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:351]
- INFO: [Synth 8-6155] done synthesizing module 'dm_mem' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:19]
- INFO: [Synth 8-6155] done synthesizing module 'dm_top' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_top.sv:20]
- INFO: [Synth 8-6157] synthesizing module 'axi2mem' [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:20]
- Parameter AXI_ID_WIDTH bound to: 5 - type: integer
- Parameter AXI_ADDR_WIDTH bound to: 32'b00000000000000000000000001000000
- Parameter AXI_DATA_WIDTH bound to: 32'b00000000000000000000000001000000
- Parameter AXI_USER_WIDTH bound to: 64 - type: integer
- INFO: [Synth 8-155] case statement is not full and has no default [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:192]
- INFO: [Synth 8-155] case statement is not full and has no default [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:234]
- INFO: [Synth 8-155] case statement is not full and has no default [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:122]
- INFO: [Synth 8-6155] done synthesizing module 'axi2mem' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:20]
- INFO: [Synth 8-6157] synthesizing module 'axi_adapter' [/home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv:19]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter DATA_WIDTH bound to: 32'b00000000000000000000000001000000
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv:486]
- INFO: [Synth 8-6155] done synthesizing module 'axi_adapter' (0#1) [/home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv:19]
- INFO: [Synth 8-6157] synthesizing module 'ariane' [/home/bonne/Documents/test/cva6/corev_apu/src/ariane.sv:16]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- INFO: [Synth 8-6157] synthesizing module 'cvxif_example_coprocessor' [/home/bonne/Documents/test/cva6/core/cvxif_example/cvxif_example_coprocessor.sv:12]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- INFO: [Synth 8-6157] synthesizing module 'instr_decoder' [/home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv:10]
- Parameter NbInstr bound to: 32'sb00000000000000000000000000000010
- Parameter CoproInstr bound to: 140'b00000000000000000000000000101011000000000000000000000000011111111000000000000000000000000000000101101100000000000000000000000001111111110000
- WARNING: [Synth 8-2898] ignoring concurrent assertion [/home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv:46]
- INFO: [Synth 8-6155] done synthesizing module 'instr_decoder' (0#1) [/home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv:10]
- INFO: [Synth 8-6157] synthesizing module 'cva6_fifo_v3' [/home/bonne/Documents/test/cva6/core/cva6_fifo_v3.sv:13]
- Parameter FALL_THROUGH bound to: 1'b1
- Parameter DATA_WIDTH bound to: 32'b00000000000000000000000001000000
- Parameter DEPTH bound to: 32'b00000000000000000000000000001000
- Parameter FPGA_EN bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'cva6_fifo_v3' (0#1) [/home/bonne/Documents/test/cva6/core/cva6_fifo_v3.sv:13]
- INFO: [Synth 8-6157] synthesizing module 'counter__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
- Parameter WIDTH bound to: 32'b00000000000000000000000000000100
- INFO: [Synth 8-6157] synthesizing module 'delta_counter__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
- Parameter WIDTH bound to: 32'b00000000000000000000000000000100
- Parameter STICKY_OVERFLOW bound to: 1'b0
- INFO: [Synth 8-6155] done synthesizing module 'delta_counter__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
- INFO: [Synth 8-6155] done synthesizing module 'counter__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
- INFO: [Synth 8-6155] done synthesizing module 'cvxif_example_coprocessor' (0#1) [/home/bonne/Documents/test/cva6/core/cvxif_example/cvxif_example_coprocessor.sv:12]
- INFO: [Synth 8-6157] synthesizing module 'cva6' [/home/bonne/Documents/test/cva6/core/cva6.sv:17]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- INFO: [Synth 8-6157] synthesizing module 'perf_counters' [/home/bonne/Documents/test/cva6/core/perf_counters.sv:16]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter NumPorts bound to: 4 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'perf_counters' (0#1) [/home/bonne/Documents/test/cva6/core/perf_counters.sv:16]
- INFO: [Synth 8-6157] synthesizing module 'wt_cache_subsystem' [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_cache_subsystem.sv:22]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter NumPorts bound to: 4 - type: integer
- INFO: [Synth 8-6157] synthesizing module 'cva6_icache' [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv:28]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter RdTxId bound to: 2'b00
- INFO: [Synth 8-6157] synthesizing module 'sram_cache' [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
- Parameter DATA_WIDTH bound to: 45 - type: integer
- Parameter NUM_WORDS bound to: 256 - type: integer
- Parameter BYTE_ACCESS bound to: 0 - type: integer
- Parameter TECHNO_CUT bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'sram' [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
- Parameter DATA_WIDTH bound to: 45 - type: integer
- Parameter USER_WIDTH bound to: 1 - type: integer
- Parameter USER_EN bound to: 0 - type: integer
- Parameter NUM_WORDS bound to: 256 - type: integer
- INFO: [Synth 8-6157] synthesizing module 'tc_sram_wrapper' [/home/bonne/Documents/test/cva6/common/local/util/tc_sram_fpga_wrapper.sv:10]
- Parameter NumWords bound to: 256 - type: integer
- Parameter DataWidth bound to: 32'b00000000000000000000000001000000
- Parameter ByteWidth bound to: 8 - type: integer
- Parameter NumPorts bound to: 1 - type: integer
- Parameter Latency bound to: 1 - type: integer
- Parameter SimInit bound to: none - type: string
- Parameter PrintSimCfg bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'SyncSpRamBeNx64' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncSpRamBeNx64.sv:28]
- Parameter ADDR_WIDTH bound to: 8 - type: integer
- Parameter DATA_DEPTH bound to: 256 - type: integer
- Parameter OUT_REGS bound to: 0 - type: integer
- Parameter SIM_INIT bound to: 1 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'SyncSpRamBeNx64' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncSpRamBeNx64.sv:28]
- INFO: [Synth 8-6155] done synthesizing module 'tc_sram_wrapper' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/tc_sram_fpga_wrapper.sv:10]
- INFO: [Synth 8-6155] done synthesizing module 'sram' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
- INFO: [Synth 8-6155] done synthesizing module 'sram_cache' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
- INFO: [Synth 8-6157] synthesizing module 'sram_cache__parameterized0' [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
- Parameter DATA_WIDTH bound to: 128 - type: integer
- Parameter USER_WIDTH bound to: 128 - type: integer
- Parameter USER_EN bound to: 0 - type: integer
- Parameter NUM_WORDS bound to: 256 - type: integer
- Parameter BYTE_ACCESS bound to: 0 - type: integer
- Parameter TECHNO_CUT bound to: 1'b0
- INFO: [Synth 8-6157] synthesizing module 'sram__parameterized0' [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
- INFO: [Common 17-14] Message 'Synth 8-6157' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
- Parameter DATA_WIDTH bound to: 128 - type: integer
- Parameter USER_WIDTH bound to: 128 - type: integer
- Parameter USER_EN bound to: 0 - type: integer
- Parameter NUM_WORDS bound to: 256 - type: integer
- INFO: [Synth 8-6155] done synthesizing module 'sram__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
- INFO: [Synth 8-6155] done synthesizing module 'sram_cache__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv:220]
- Parameter WIDTH bound to: 32'b00000000000000000000000000000100
- INFO: [Synth 8-6155] done synthesizing module 'lzc__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
- Parameter LfsrWidth bound to: 32'b00000000000000000000000000001000
- Parameter OutWidth bound to: 32'b00000000000000000000000000000010
- INFO: [Synth 8-6155] done synthesizing module 'lfsr' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr.sv:22]
- INFO: [Synth 8-6155] done synthesizing module 'cva6_icache' (0#1) [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv:28]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter RdAmoTxId bound to: 2'b01
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter DCACHE_CL_IDX_WIDTH bound to: 8 - type: integer
- Parameter RdTxId bound to: 2'b01
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv:134]
- INFO: [Synth 8-226] default block is never used [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv:134]
- INFO: [Synth 8-6155] done synthesizing module 'wt_dcache_ctrl' (0#1) [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv:16]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter DCACHE_CL_IDX_WIDTH bound to: 8 - type: integer
- Parameter AmoTxId bound to: 2'b01
- Parameter NumPorts bound to: 32'b00000000000000000000000000000100
- Parameter WIDTH bound to: 32'b00000000000000000000000000001000
- INFO: [Synth 8-6155] done synthesizing module 'lzc__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
- INFO: [Common 17-14] Message 'Synth 8-6155' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
- Parameter LfsrWidth bound to: 32'b00000000000000000000000000001000
- Parameter OutWidth bound to: 32'b00000000000000000000000000000011
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv:98]
- Parameter Seed bound to: 32'b00000000000000000000000000000011
- Parameter MaxExp bound to: 32'b00000000000000000000000000010000
- WARNING: [Synth 8-693] zero replication count - replication ignored [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/exp_backoff.sv:59]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv:350]
- INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv:451]
- Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
- Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
- Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
- Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
- Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
- Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
- Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[RVF] bound to: 1'b1
- Parameter CVA6Cfg[RVD] bound to: 1'b1
- Parameter CVA6Cfg[XF16] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
- Parameter CVA6Cfg[XF8] bound to: 1'b0
- Parameter CVA6Cfg[RVA] bound to: 1'b1
- Parameter CVA6Cfg[RVB] bound to: 1'b1
- Parameter CVA6Cfg[RVV] bound to: 1'b0
- Parameter CVA6Cfg[RVC] bound to: 1'b1
- Parameter CVA6Cfg[RVH] bound to: 1'b0
- Parameter CVA6Cfg[RVZCB] bound to: 1'b1
- Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
- Parameter CVA6Cfg[XFVec] bound to: 1'b0
- Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
- Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
- Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
- Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
- Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[FpPresent] bound to: 1'b1
- Parameter CVA6Cfg[NSX] bound to: 1'b0
- Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[RVFVec] bound to: 1'b0
- Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
- Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
- Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
- Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
- Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
- Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
- Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
- Parameter CVA6Cfg[RVS] bound to: 1'b1
- Parameter CVA6Cfg[RVU] bound to: 1'b1
- Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
- Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
- Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
- Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
- Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[TvalEn] bound to: 1'b1
- Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
- Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
- Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
- Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
- Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
- Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
- Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
- Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
- Parameter CVA6Cfg[DebugEn] bound to: 1'b1
- Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
- Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
- Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCacheType] bound to: 2'b01
- Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
- Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
- Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
- Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
- Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
- Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
- Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
- Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
- Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
- Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
- Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
- Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
- Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
- Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
- Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
- Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
- Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
- Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
- Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
- Parameter DCACHE_CL_IDX_WIDTH bound to: 8 - type: integer
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement