Advertisement
Guest User

Part 1

a guest
Jun 24th, 2024
49
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 335.61 KB | None | 0 0
  1. make -C corev_apu/fpga/src/bootrom BOARD=genesys2 XLEN=64 bootrom_64.sv
  2. make[1]: Entering directory '/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom'
  3. make[1]: 'bootrom_64.sv' is up to date.
  4. make[1]: Leaving directory '/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom'
  5. [FPGA] Generate sources
  6. [FPGA] Generate Bitstream
  7. make -C corev_apu/fpga BOARD=genesys2 XILINX_PART=xc7k325tffg900-2 XILINX_BOARD=digilentinc.com:genesys2:part0:1.1 CLK_PERIOD_NS=20
  8. make[1]: Entering directory '/home/bonne/Documents/test/cva6/corev_apu/fpga'
  9. mkdir -p work-fpga
  10. vivado -nojournal -mode batch -source scripts/prologue.tcl -source scripts/run.tcl
  11.  
  12. ****** Vivado v2024.1 (64-bit)
  13. **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
  14. **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
  15. **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
  16. **** Start of session at: Mon Jun 24 20:53:03 2024
  17. ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
  18. ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
  19.  
  20. source scripts/prologue.tcl
  21. # set project ariane
  22. # create_project $project . -force -part $::env(XILINX_PART)
  23. create_project: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1410.242 ; gain = 0.023 ; free physical = 23436 ; free virtual = 28300
  24. # set_property board_part $::env(XILINX_BOARD) [current_project]
  25. # set_param general.maxThreads 8
  26. # set_msg_config -id {[Synth 8-5858]} -new_severity "info"
  27. # set_msg_config -id {[Synth 8-4480]} -limit 1000
  28. source scripts/run.tcl
  29. # if {$::env(BOARD) eq "genesys2"} {
  30. # add_files -fileset constrs_1 -norecurse constraints/genesys-2.xdc
  31. # } elseif {$::env(BOARD) eq "kc705"} {
  32. # add_files -fileset constrs_1 -norecurse constraints/kc705.xdc
  33. # } elseif {$::env(BOARD) eq "vc707"} {
  34. # add_files -fileset constrs_1 -norecurse constraints/vc707.xdc
  35. # } elseif {$::env(BOARD) eq "nexys_video"} {
  36. # add_files -fileset constrs_1 -norecurse constraints/nexys_video.xdc
  37. # } else {
  38. # exit 1
  39. # }
  40. # read_ip { \
  41. # "xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.srcs/sources_1/ip/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.xci" \
  42. # "xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.srcs/sources_1/ip/xlnx_axi_clock_converter/xlnx_axi_clock_converter.xci" \
  43. # "xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.srcs/sources_1/ip/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.xci" \
  44. # "xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.xci" \
  45. # "xilinx/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.xci" \
  46. # "xilinx/xlnx_axi_gpio/xlnx_axi_gpio.srcs/sources_1/ip/xlnx_axi_gpio/xlnx_axi_gpio.xci" \
  47. # "xilinx/xlnx_axi_quad_spi/xlnx_axi_quad_spi.srcs/sources_1/ip/xlnx_axi_quad_spi/xlnx_axi_quad_spi.xci" \
  48. # "xilinx/xlnx_clk_gen/xlnx_clk_gen.srcs/sources_1/ip/xlnx_clk_gen/xlnx_clk_gen.xci" \
  49. # }
  50. INFO: [IP_Flow 19-234] Refreshing IP repositories
  51. INFO: [IP_Flow 19-1704] No user IP repositories specified
  52. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/bonne/Software/Vivado/2024.1/data/ip'.
  53. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.srcs/sources_1/ip/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.gen/sources_1/ip/xlnx_mig_7_ddr3'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  54. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.srcs/sources_1/ip/xlnx_axi_clock_converter/xlnx_axi_clock_converter.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.gen/sources_1/ip/xlnx_axi_clock_converter'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  55. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.srcs/sources_1/ip/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.gen/sources_1/ip/xlnx_axi_dwidth_converter'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  56. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.gen/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  57. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/xlnx_axi_dwidth_converter_dm_master.gen/sources_1/ip/xlnx_axi_dwidth_converter_dm_master'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  58. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_gpio/xlnx_axi_gpio.srcs/sources_1/ip/xlnx_axi_gpio/xlnx_axi_gpio.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_gpio/xlnx_axi_gpio.gen/sources_1/ip/xlnx_axi_gpio'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  59. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/xlnx_axi_quad_spi.srcs/sources_1/ip/xlnx_axi_quad_spi/xlnx_axi_quad_spi.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/xlnx_axi_quad_spi.gen/sources_1/ip/xlnx_axi_quad_spi'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  60. WARNING: [Vivado 12-13650] The IP file '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_clk_gen/xlnx_clk_gen.srcs/sources_1/ip/xlnx_clk_gen/xlnx_clk_gen.xci' has been moved from its original location, as a result the outputs for this IP will now be generated in '/home/bonne/Documents/test/cva6/corev_apu/fpga/xilinx/xlnx_clk_gen/xlnx_clk_gen.gen/sources_1/ip/xlnx_clk_gen'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands.
  61. # set_property include_dirs { \
  62. # "src/axi_sd_bridge/include" \
  63. # "../../vendor/pulp-platform/common_cells/include" \
  64. # "../../vendor/pulp-platform/axi/include" \
  65. # "../../core/cache_subsystem/hpdcache/rtl/include" \
  66. # "../register_interface/include" \
  67. # "../../core/include" \
  68. # } [current_fileset]
  69. # source scripts/add_sources.tcl
  70. ## read_vhdl {/home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/apb_uart.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_clock_div.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_counter.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_edge_detect.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_fifo.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_input_filter.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_input_sync.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/slib_mv_filter.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_baudgen.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_interrupt.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_receiver.vhd /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_uart/src/vhdl_orig/uart_transmitter.vhd}
  71. ## read_verilog -sv {/home/bonne/Documents/test/cva6/corev_apu/tb/ariane_axi_pkg.sv /home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv /home/bonne/Documents/test/cva6/corev_apu/register_interface/src/reg_intf.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_soc_pkg.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_pkg.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_axi_soc_pkg.sv}
  72. ## read_verilog -sv {/home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncDpRam.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/AsyncDpRam.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/AsyncThreePortRam.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_pkg.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_cast_multi.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_classifier.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_divsqrt_multi.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_fma_multi.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_fma.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_noncomp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_opgroup_block.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_opgroup_fmt_slice.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_opgroup_multifmt_slice.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_rounding.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpnew_top.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv /home/bonne/Documents/test/cva6/core/cvfpu/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv /home/bonne/Documents/test/cva6/core/include/config_pkg.sv /home/bonne/Documents/test/cva6/core/include/cv64a6_imafdc_sv39_config_pkg.sv /home/bonne/Documents/test/cva6/core/include/riscv_pkg.sv /home/bonne/Documents/test/cva6/core/include/ariane_pkg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_pkg.sv /home/bonne/Documents/test/cva6/core/include/wt_cache_pkg.sv /home/bonne/Documents/test/cva6/core/include/std_cache_pkg.sv /home/bonne/Documents/test/cva6/core/include/build_config_pkg.sv /home/bonne/Documents/test/cva6/core/include/cvxif_pkg.sv /home/bonne/Documents/test/cva6/core/cvxif_example/include/cvxif_instr_pkg.sv /home/bonne/Documents/test/cva6/core/cvxif_fu.sv /home/bonne/Documents/test/cva6/core/cvxif_example/cvxif_example_coprocessor.sv /home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cf_math_pkg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr_8bit.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_arbiter.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_arbiter_flushable.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_mux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_demux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/shift_reg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/unread.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/popcount.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/exp_backoff.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv /home/bonne/Documents/test/cva6/core/cva6.sv /home/bonne/Documents/test/cva6/core/cva6_rvfi_probes.sv /home/bonne/Documents/test/cva6/core/alu.sv /home/bonne/Documents/test/cva6/core/fpu_wrap.sv /home/bonne/Documents/test/cva6/core/branch_unit.sv /home/bonne/Documents/test/cva6/core/compressed_decoder.sv /home/bonne/Documents/test/cva6/core/macro_decoder.sv /home/bonne/Documents/test/cva6/core/controller.sv /home/bonne/Documents/test/cva6/core/csr_buffer.sv /home/bonne/Documents/test/cva6/core/csr_regfile.sv /home/bonne/Documents/test/cva6/core/decoder.sv /home/bonne/Documents/test/cva6/core/ex_stage.sv /home/bonne/Documents/test/cva6/core/instr_realign.sv /home/bonne/Documents/test/cva6/core/id_stage.sv /home/bonne/Documents/test/cva6/core/issue_read_operands.sv /home/bonne/Documents/test/cva6/core/issue_stage.sv /home/bonne/Documents/test/cva6/core/load_unit.sv /home/bonne/Documents/test/cva6/core/load_store_unit.sv /home/bonne/Documents/test/cva6/core/lsu_bypass.sv /home/bonne/Documents/test/cva6/core/mult.sv /home/bonne/Documents/test/cva6/core/multiplier.sv /home/bonne/Documents/test/cva6/core/serdiv.sv /home/bonne/Documents/test/cva6/core/perf_counters.sv /home/bonne/Documents/test/cva6/core/ariane_regfile_ff.sv /home/bonne/Documents/test/cva6/core/ariane_regfile_fpga.sv /home/bonne/Documents/test/cva6/core/scoreboard.sv /home/bonne/Documents/test/cva6/core/round_interval.sv /home/bonne/Documents/test/cva6/core/store_buffer.sv /home/bonne/Documents/test/cva6/core/amo_buffer.sv /home/bonne/Documents/test/cva6/core/store_unit.sv /home/bonne/Documents/test/cva6/core/commit_stage.sv /home/bonne/Documents/test/cva6/core/axi_shim.sv /home/bonne/Documents/test/cva6/core/cva6_accel_first_pass_decoder_stub.sv /home/bonne/Documents/test/cva6/core/acc_dispatcher.sv /home/bonne/Documents/test/cva6/core/cva6_fifo_v3.sv /home/bonne/Documents/test/cva6/core/frontend/btb.sv /home/bonne/Documents/test/cva6/core/frontend/bht.sv /home/bonne/Documents/test/cva6/core/frontend/ras.sv /home/bonne/Documents/test/cva6/core/frontend/instr_scan.sv /home/bonne/Documents/test/cva6/core/frontend/instr_queue.sv /home/bonne/Documents/test/cva6/core/frontend/frontend.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_mem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_wbuffer.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_cache_subsystem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/wt_axi_adapter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/tag_cmp.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/miss_handler.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cache_ctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/std_cache_subsystem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/std_nbdcache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_pkg.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_demux.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_lfsr.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sync_buffer.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_fifo_reg.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_fifo_reg_initialized.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_fxarb.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_rrarb.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_mux.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_1hot_to_binary.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_prio_1hot_encoder.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sram.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sram_wbyteenable.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_sram_wmask.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_regbank_wbyteenable_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_regbank_wmask_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_data_downsize.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/hpdcache_data_upsize.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride_pkg.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride_arb.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hwpf_stride/hwpf_stride_wrapper.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_amo.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_cmo.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_core_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_ctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_ctrl_pe.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_memctrl.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_miss_handler.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_mshr.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_plru.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_rtab.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_uncached.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_victim_sel.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/hpdcache_wbuf.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_req_read_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_req_write_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_resp_demux.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_to_axi_read.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/utils/hpdcache_mem_to_axi_write.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_subsystem.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_subsystem_axi_arbiter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_if_adapter.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_wrapper.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/macros/behav/hpdcache_sram_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/macros/behav/hpdcache_sram_wbyteenable_1rw.sv /home/bonne/Documents/test/cva6/core/cache_subsystem/hpdcache/rtl/src/common/macros/behav/hpdcache_sram_wmask_1rw.sv /home/bonne/Documents/test/cva6/core/pmp/src/pmp.sv /home/bonne/Documents/test/cva6/core/pmp/src/pmp_entry.sv /home/bonne/Documents/test/cva6/common/local/util/tc_sram_wrapper_cache_techno.sv /home/bonne/Documents/test/cva6/common/local/util/sram.sv /home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_mmu.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_ptw.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_tlb.sv /home/bonne/Documents/test/cva6/core/cva6_mmu/cva6_shared_tlb.sv}
  73. ## read_verilog -sv {/home/bonne/Documents/test/cva6/core/cva6_rvfi.sv /home/bonne/Documents/test/cva6/corev_apu/src/ariane.sv /home/bonne/Documents/test/cva6/corev_apu/clint/axi_lite_interface.sv /home/bonne/Documents/test/cva6/corev_apu/clint/clint.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi2apb/src/axi2apb_64_32.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi2apb/src/axi2apb.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi2apb/src/axi2apb_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_timer/apb_timer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/apb_timer/timer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_ar_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_aw_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_b_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_r_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_single_slice.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_slice.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_slice_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/axi_slice/src/axi_w_buffer.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_res_tbl.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_amos_alu.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_amos.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_atomics.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_atomics_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_lrsc.sv /home/bonne/Documents/test/cva6/corev_apu/src/axi_riscv_atomics/src/axi_riscv_lrsc_wrap.sv /home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/rv_plic_target.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/rv_plic_gateway.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/plic_regmap.sv /home/bonne/Documents/test/cva6/corev_apu/rv_plic/rtl/plic_top.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_cdc.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_top.sv /home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/debug_rom/debug_rom.sv /home/bonne/Documents/test/cva6/corev_apu/register_interface/src/apb_to_reg.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_multicut.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen_bypass.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/addr_decode.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_register.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_cut.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_join.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_delayer.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_to_axi_lite.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_id_prepend.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_err_slv.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_mux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v1.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_delay.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr_16bit.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/cluster_clk_cells.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/pulp_clk_cells.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_testharness.sv /home/bonne/Documents/test/cva6/corev_apu/tb/ariane_peripherals.sv /home/bonne/Documents/test/cva6/corev_apu/tb/rvfi_tracer.sv /home/bonne/Documents/test/cva6/corev_apu/tb/common/uart.sv /home/bonne/Documents/test/cva6/corev_apu/tb/common/SimDTM.sv /home/bonne/Documents/test/cva6/corev_apu/tb/common/SimJTAG.sv}
  74. ## read_verilog -sv {/home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane_peripherals_xilinx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane_xilinx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/fan_ctrl.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/axis_gmii_rx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/axis_gmii_tx.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/dualmem_widen8.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/dualmem_widen.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/eth_mac_1g_rgmii_fifo.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/eth_mac_1g_rgmii.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/eth_mac_1g.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/framing_top.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/iddr.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/oddr.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_core.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_lfsr.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_phy_if.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_soc.sv /home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/ssio_ddr_in.sv /home/bonne/Documents/test/cva6/common/local/util/tc_sram_fpga_wrapper.sv /home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncSpRamBeNx64.sv src/bootrom/bootrom_64.sv}
  75. # set_property top ${project}_xilinx [current_fileset]
  76. # if {$::env(BOARD) eq "genesys2"} {
  77. # read_verilog -sv {src/genesysii.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
  78. # set file "src/genesysii.svh"
  79. # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
  80. # } elseif {$::env(BOARD) eq "kc705"} {
  81. # read_verilog -sv {src/kc705.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
  82. # set file "src/kc705.svh"
  83. # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
  84. # } elseif {$::env(BOARD) eq "vc707"} {
  85. # read_verilog -sv {src/vc707.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
  86. # set file "src/vc707.svh"
  87. # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
  88. # } elseif {$::env(BOARD) eq "nexys_video"} {
  89. # read_verilog -sv {src/nexys_video.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh}
  90. # set file "src/nexys_video.svh"
  91. # set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh"
  92. # } else {
  93. # exit 1
  94. # }
  95. # set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file" "$registers"]]
  96. # set_property -dict { file_type {Verilog Header} is_global_include 1} -objects $file_obj
  97. # update_compile_order -fileset sources_1
  98. # add_files -fileset constrs_1 -norecurse constraints/$project.xdc
  99. # synth_design -rtl -name rtl_1
  100. Command: synth_design -rtl -name rtl_1
  101. Starting synth_design
  102. Using part: xc7k325tffg900-2
  103. Top: ariane_xilinx
  104. INFO: [Device 21-403] Loading part xc7k325tffg900-2
  105. INFO: [Device 21-9227] Part: xc7k325tffg900-2 does not have CEAM library.
  106. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
  107. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  108. INFO: [Synth 8-7075] Helper process launched with PID 11052
  109. ---------------------------------------------------------------------------------
  110. Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 2255.484 ; gain = 368.691 ; free physical = 22250 ; free virtual = 27117
  111. ---------------------------------------------------------------------------------
  112. WARNING: [Synth 8-9661] initial value of parameter 'HPDcacheCfg' is omitted [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_hpdcache_wrapper.sv:20]
  113. WARNING: [Synth 8-11067] parameter 'NbInstr' declared inside package 'cvxif_instr_pkg' shall be treated as localparam [/home/bonne/Documents/test/cva6/core/cvxif_example/include/cvxif_instr_pkg.sv:19]
  114. WARNING: [Synth 8-11067] parameter 'CoproInstr' declared inside package 'cvxif_instr_pkg' shall be treated as localparam [/home/bonne/Documents/test/cva6/core/cvxif_example/include/cvxif_instr_pkg.sv:20]
  115. WARNING: [Synth 8-11065] parameter 'NR_BYPASS_PORTS' becomes localparam in 'miss_handler' with formal parameter declaration list [/home/bonne/Documents/test/cva6/core/cache_subsystem/miss_handler.sv:72]
  116. WARNING: [Synth 8-11065] parameter 'STYLE_INT' becomes localparam in 'rgmii_lfsr' with formal parameter declaration list [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane-ethernet/rgmii_lfsr.sv:364]
  117. INFO: [Synth 8-6157] synthesizing module 'ariane_xilinx' [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/ariane_xilinx.sv:14]
  118. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  119. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  120. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  121. Parameter AXI_ID_WIDTH bound to: 4 - type: integer
  122. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  123. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  124. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  125. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  126. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  127. Parameter AXI_ID_WIDTH bound to: 4 - type: integer
  128. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  129. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  130. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  131. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  132. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  133. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  134. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  135. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  136. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  137. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  138. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  139. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  140. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  141. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  142. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  143. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  144. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  145. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  146. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  147. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  148. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  149. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  150. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  151. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  152. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  153. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  154. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  155. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  156. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  157. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  158. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  159. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  160. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  161. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  162. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  163. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  164. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  165. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  166. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  167. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  168. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  169. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  170. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  171. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  172. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  173. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  174. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  175. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  176. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  177. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  178. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  179. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  180. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  181. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  182. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  183. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  184. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  185. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  186. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  187. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  188. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  189. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  190. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  191. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  192. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  193. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  194. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  195. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  196. INFO: [Synth 8-6157] synthesizing module 'AXI_BUS' [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  197. Parameter AXI_ADDR_WIDTH bound to: 64 - type: integer
  198. Parameter AXI_DATA_WIDTH bound to: 64 - type: integer
  199. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  200. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  201. INFO: [Synth 8-6155] done synthesizing module 'AXI_BUS' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/tb/axi_intf.sv:19]
  202. INFO: [Synth 8-6157] synthesizing module 'bootrom_64' [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom/bootrom_64.sv:17]
  203. INFO: [Synth 8-6155] done synthesizing module 'bootrom_64' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/fpga/src/bootrom/bootrom_64.sv:17]
  204. INFO: [Synth 8-6157] synthesizing module 'rstgen' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen.sv:13]
  205. INFO: [Synth 8-6157] synthesizing module 'rstgen_bypass' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen_bypass.sv:15]
  206. INFO: [Synth 8-6155] done synthesizing module 'rstgen_bypass' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen_bypass.sv:15]
  207. INFO: [Synth 8-6155] done synthesizing module 'rstgen' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rstgen.sv:13]
  208. INFO: [Synth 8-6157] synthesizing module 'axi_xbar_intf' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:242]
  209. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  210. Parameter Cfg[NoSlvPorts] bound to: 32'b00000000000000000000000000000010
  211. Parameter Cfg[NoMstPorts] bound to: 32'b00000000000000000000000000001010
  212. Parameter Cfg[MaxMstTrans] bound to: 32'b00000000000000000000000000000001
  213. Parameter Cfg[MaxSlvTrans] bound to: 32'b00000000000000000000000000000001
  214. Parameter Cfg[FallThrough] bound to: 1'b0
  215. Parameter Cfg[LatencyMode] bound to: 10'b1111111111
  216. Parameter Cfg[AxiIdWidthSlvPorts] bound to: 32'b00000000000000000000000000000100
  217. Parameter Cfg[AxiIdUsedSlvPorts] bound to: 32'b00000000000000000000000000000100
  218. Parameter Cfg[UniqueIds] bound to: 1'b0
  219. Parameter Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  220. Parameter Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  221. Parameter Cfg[NoAddrRules] bound to: 32'b00000000000000000000000000001010
  222. INFO: [Synth 8-6157] synthesizing module 'axi_xbar' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:18]
  223. Parameter Cfg[NoSlvPorts] bound to: 32'b00000000000000000000000000000010
  224. Parameter Cfg[NoMstPorts] bound to: 32'b00000000000000000000000000001010
  225. Parameter Cfg[MaxMstTrans] bound to: 32'b00000000000000000000000000000001
  226. Parameter Cfg[MaxSlvTrans] bound to: 32'b00000000000000000000000000000001
  227. Parameter Cfg[FallThrough] bound to: 1'b0
  228. Parameter Cfg[LatencyMode] bound to: 10'b1111111111
  229. Parameter Cfg[AxiIdWidthSlvPorts] bound to: 32'b00000000000000000000000000000100
  230. Parameter Cfg[AxiIdUsedSlvPorts] bound to: 32'b00000000000000000000000000000100
  231. Parameter Cfg[UniqueIds] bound to: 1'b0
  232. Parameter Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  233. Parameter Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  234. Parameter Cfg[NoAddrRules] bound to: 32'b00000000000000000000000000001010
  235. INFO: [Synth 8-6157] synthesizing module 'addr_decode' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/addr_decode.sv:30]
  236. Parameter NoIndices bound to: 32'b00000000000000000000000000001010
  237. Parameter NoRules bound to: 32'b00000000000000000000000000001010
  238. INFO: [Synth 8-6155] done synthesizing module 'addr_decode' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/addr_decode.sv:30]
  239. INFO: [Synth 8-6157] synthesizing module 'axi_demux' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:19]
  240. Parameter AxiIdWidth bound to: 32'b00000000000000000000000000000100
  241. Parameter NoMstPorts bound to: 32'b00000000000000000000000000001011
  242. Parameter MaxTrans bound to: 32'b00000000000000000000000000000001
  243. Parameter AxiLookBits bound to: 32'b00000000000000000000000000000100
  244. Parameter UniqueIds bound to: 1'b0
  245. Parameter FallThrough bound to: 1'b0
  246. Parameter SpillAw bound to: 1'b1
  247. Parameter SpillW bound to: 1'b1
  248. Parameter SpillB bound to: 1'b1
  249. Parameter SpillAr bound to: 1'b1
  250. Parameter SpillR bound to: 1'b1
  251. INFO: [Synth 8-6157] synthesizing module 'axi_demux_id_counters' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:576]
  252. Parameter AxiIdBits bound to: 32'b00000000000000000000000000000100
  253. Parameter CounterWidth bound to: 32'b00000000000000000000000000000001
  254. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  255. INFO: [Synth 8-6157] synthesizing module 'delta_counter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
  256. Parameter WIDTH bound to: 32'b00000000000000000000000000000001
  257. Parameter STICKY_OVERFLOW bound to: 1'b0
  258. INFO: [Synth 8-6155] done synthesizing module 'delta_counter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
  259. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  260. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  261. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  262. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  263. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  264. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  265. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  266. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  267. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  268. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  269. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  270. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  271. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  272. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  273. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:626]
  274. INFO: [Synth 8-6155] done synthesizing module 'axi_demux_id_counters' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:576]
  275. INFO: [Synth 8-6157] synthesizing module 'spill_register' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  276. Parameter Bypass bound to: 1'b0
  277. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  278. Parameter Bypass bound to: 1'b0
  279. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  280. INFO: [Synth 8-6155] done synthesizing module 'spill_register' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  281. INFO: [Synth 8-6157] synthesizing module 'fifo_v3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  282. Parameter FALL_THROUGH bound to: 1'b0
  283. Parameter DEPTH bound to: 32'b00000000000000000000000000000001
  284. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  285. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  286. Parameter Bypass bound to: 1'b0
  287. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  288. Parameter Bypass bound to: 1'b0
  289. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  290. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  291. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  292. Parameter Bypass bound to: 1'b0
  293. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  294. Parameter Bypass bound to: 1'b0
  295. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  296. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  297. INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  298. Parameter NumIn bound to: 32'b00000000000000000000000000001011
  299. Parameter AxiVldRdy bound to: 1'b1
  300. Parameter LockIn bound to: 1'b1
  301. INFO: [Synth 8-6157] synthesizing module 'lzc' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
  302. Parameter WIDTH bound to: 32'b00000000000000000000000000001011
  303. Parameter MODE bound to: 1'b0
  304. INFO: [Synth 8-6155] done synthesizing module 'lzc' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
  305. INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  306. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  307. Parameter Bypass bound to: 1'b0
  308. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  309. Parameter Bypass bound to: 1'b0
  310. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  311. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  312. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  313. Parameter Bypass bound to: 1'b0
  314. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  315. Parameter Bypass bound to: 1'b0
  316. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  317. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  318. INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  319. Parameter NumIn bound to: 32'b00000000000000000000000000001011
  320. Parameter AxiVldRdy bound to: 1'b1
  321. Parameter LockIn bound to: 1'b1
  322. INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  323. INFO: [Synth 8-6155] done synthesizing module 'axi_demux' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_demux.sv:19]
  324. INFO: [Synth 8-6157] synthesizing module 'axi_err_slv' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_err_slv.sv:19]
  325. Parameter AxiIdWidth bound to: 32'b00000000000000000000000000000100
  326. Parameter Resp bound to: 2'b11
  327. Parameter ATOPs bound to: 1'b1
  328. Parameter MaxTrans bound to: 32'b00000000000000000000000000000100
  329. INFO: [Synth 8-6157] synthesizing module 'axi_atop_filter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:37]
  330. Parameter AxiIdWidth bound to: 32'b00000000000000000000000000000100
  331. Parameter AxiMaxWriteTxns bound to: 32'b00000000000000000000000000000100
  332. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:118]
  333. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:268]
  334. INFO: [Synth 8-6157] synthesizing module 'stream_register' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_register.sv:14]
  335. INFO: [Synth 8-6157] synthesizing module 'fifo_v2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
  336. Parameter FALL_THROUGH bound to: 1'b0
  337. Parameter DATA_WIDTH bound to: 32'b00000000000000000000000000001000
  338. Parameter DEPTH bound to: 32'b00000000000000000000000000000001
  339. INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  340. Parameter FALL_THROUGH bound to: 1'b0
  341. Parameter DATA_WIDTH bound to: 32'b00000000000000000000000000001000
  342. Parameter DEPTH bound to: 32'b00000000000000000000000000000001
  343. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  344. INFO: [Synth 8-6155] done synthesizing module 'fifo_v2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
  345. INFO: [Synth 8-6155] done synthesizing module 'stream_register' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/stream_register.sv:14]
  346. INFO: [Synth 8-6155] done synthesizing module 'axi_atop_filter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_atop_filter.sv:37]
  347. INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  348. Parameter FALL_THROUGH bound to: 1'b1
  349. Parameter DEPTH bound to: 32'b00000000000000000000000000000100
  350. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  351. INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  352. Parameter FALL_THROUGH bound to: 1'b0
  353. Parameter DEPTH bound to: 32'b00000000000000000000000000000010
  354. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  355. INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized3' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  356. Parameter FALL_THROUGH bound to: 1'b0
  357. Parameter DEPTH bound to: 32'b00000000000000000000000000000100
  358. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized3' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  359. INFO: [Synth 8-6157] synthesizing module 'counter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
  360. Parameter WIDTH bound to: 32'b00000000000000000000000000001000
  361. INFO: [Synth 8-6157] synthesizing module 'delta_counter__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
  362. Parameter WIDTH bound to: 32'b00000000000000000000000000001000
  363. Parameter STICKY_OVERFLOW bound to: 1'b0
  364. INFO: [Synth 8-6155] done synthesizing module 'delta_counter__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
  365. INFO: [Synth 8-6155] done synthesizing module 'counter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
  366. INFO: [Synth 8-6155] done synthesizing module 'axi_err_slv' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_err_slv.sv:19]
  367. INFO: [Synth 8-6157] synthesizing module 'axi_mux' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_mux.sv:27]
  368. Parameter SlvAxiIDWidth bound to: 32'b00000000000000000000000000000100
  369. Parameter NoSlvPorts bound to: 32'b00000000000000000000000000000010
  370. Parameter MaxWTrans bound to: 32'b00000000000000000000000000000001
  371. Parameter FallThrough bound to: 1'b0
  372. Parameter SpillAw bound to: 1'b1
  373. Parameter SpillW bound to: 1'b1
  374. Parameter SpillB bound to: 1'b1
  375. Parameter SpillAr bound to: 1'b1
  376. Parameter SpillR bound to: 1'b1
  377. INFO: [Synth 8-6157] synthesizing module 'axi_id_prepend' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_id_prepend.sv:18]
  378. Parameter NoBus bound to: 1 - type: integer
  379. Parameter AxiIdWidthSlvPort bound to: 32'b00000000000000000000000000000100
  380. Parameter AxiIdWidthMstPort bound to: 32'b00000000000000000000000000000101
  381. INFO: [Synth 8-6155] done synthesizing module 'axi_id_prepend' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_id_prepend.sv:18]
  382. INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  383. Parameter NumIn bound to: 32'b00000000000000000000000000000010
  384. Parameter AxiVldRdy bound to: 1'b1
  385. Parameter LockIn bound to: 1'b1
  386. INFO: [Synth 8-6157] synthesizing module 'lzc__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
  387. Parameter WIDTH bound to: 32'b00000000000000000000000000000010
  388. Parameter MODE bound to: 1'b0
  389. INFO: [Synth 8-6155] done synthesizing module 'lzc__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
  390. INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  391. INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized4' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  392. Parameter FALL_THROUGH bound to: 1'b0
  393. Parameter DEPTH bound to: 32'b00000000000000000000000000000001
  394. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized4' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  395. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized4' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  396. Parameter Bypass bound to: 1'b0
  397. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized4' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  398. Parameter Bypass bound to: 1'b0
  399. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized4' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  400. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized4' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  401. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized5' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  402. Parameter Bypass bound to: 1'b0
  403. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized5' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  404. Parameter Bypass bound to: 1'b0
  405. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized5' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  406. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized5' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  407. INFO: [Synth 8-6157] synthesizing module 'rr_arb_tree__parameterized2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  408. Parameter NumIn bound to: 32'b00000000000000000000000000000010
  409. Parameter AxiVldRdy bound to: 1'b1
  410. Parameter LockIn bound to: 1'b1
  411. INFO: [Synth 8-6155] done synthesizing module 'rr_arb_tree__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv:47]
  412. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized6' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  413. Parameter Bypass bound to: 1'b0
  414. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized6' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  415. Parameter Bypass bound to: 1'b0
  416. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized6' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  417. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized6' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  418. INFO: [Synth 8-6157] synthesizing module 'spill_register__parameterized7' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  419. Parameter Bypass bound to: 1'b0
  420. INFO: [Synth 8-6157] synthesizing module 'spill_register_flushable__parameterized7' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  421. Parameter Bypass bound to: 1'b0
  422. INFO: [Synth 8-6155] done synthesizing module 'spill_register_flushable__parameterized7' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register_flushable.sv:17]
  423. INFO: [Synth 8-6155] done synthesizing module 'spill_register__parameterized7' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/spill_register.sv:17]
  424. INFO: [Synth 8-6155] done synthesizing module 'axi_mux' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_mux.sv:27]
  425. INFO: [Synth 8-6155] done synthesizing module 'axi_xbar' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:18]
  426. INFO: [Synth 8-6155] done synthesizing module 'axi_xbar_intf' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/axi/src/axi_xbar.sv:242]
  427. INFO: [Synth 8-6157] synthesizing module 'dmi_jtag' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv:19]
  428. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv:101]
  429. INFO: [Synth 8-6157] synthesizing module 'dmi_jtag_tap' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:19]
  430. Parameter IrLength bound to: 32'b00000000000000000000000000000101
  431. Parameter IdcodeValue bound to: 1 - type: integer
  432. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:186]
  433. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:207]
  434. INFO: [Synth 8-6157] synthesizing module 'cluster_clock_inverter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/cluster_clk_cells.sv:54]
  435. INFO: [Synth 8-6157] synthesizing module 'tc_clk_inverter' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:55]
  436. INFO: [Synth 8-6155] done synthesizing module 'tc_clk_inverter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:55]
  437. INFO: [Synth 8-6155] done synthesizing module 'cluster_clock_inverter' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/cluster_clk_cells.sv:54]
  438. INFO: [Synth 8-6157] synthesizing module 'pulp_clock_mux2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/pulp_clk_cells.sv:66]
  439. INFO: [Synth 8-6157] synthesizing module 'tc_clk_mux2' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:74]
  440. INFO: [Synth 8-6155] done synthesizing module 'tc_clk_mux2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/rtl/tc_clk.sv:74]
  441. INFO: [Synth 8-6155] done synthesizing module 'pulp_clock_mux2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/tech_cells_generic/src/deprecated/pulp_clk_cells.sv:66]
  442. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:260]
  443. INFO: [Synth 8-226] default block is never used [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:260]
  444. INFO: [Synth 8-6155] done synthesizing module 'dmi_jtag_tap' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag_tap.sv:19]
  445. INFO: [Synth 8-6157] synthesizing module 'dmi_cdc' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_cdc.sv:19]
  446. INFO: [Synth 8-6157] synthesizing module 'cdc_2phase' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
  447. INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_src' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
  448. INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_src' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
  449. INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_dst' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
  450. INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_dst' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
  451. INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
  452. INFO: [Synth 8-6157] synthesizing module 'cdc_2phase__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
  453. INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_src__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
  454. INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_src__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:68]
  455. INFO: [Synth 8-6157] synthesizing module 'cdc_2phase_dst__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
  456. INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase_dst__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:118]
  457. INFO: [Synth 8-6155] done synthesizing module 'cdc_2phase__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/cdc_2phase.sv:19]
  458. INFO: [Synth 8-6155] done synthesizing module 'dmi_cdc' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_cdc.sv:19]
  459. INFO: [Synth 8-6155] done synthesizing module 'dmi_jtag' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dmi_jtag.sv:19]
  460. INFO: [Synth 8-6157] synthesizing module 'dm_top' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_top.sv:20]
  461. Parameter NrHarts bound to: 32'b00000000000000000000000000000001
  462. Parameter BusWidth bound to: 32'b00000000000000000000000001000000
  463. Parameter SelectableHarts bound to: 1'b1
  464. INFO: [Synth 8-6157] synthesizing module 'dm_csrs' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:18]
  465. Parameter NrHarts bound to: 32'b00000000000000000000000000000001
  466. Parameter BusWidth bound to: 32'b00000000000000000000000001000000
  467. Parameter SelectableHarts bound to: 1'b1
  468. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:294]
  469. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:360]
  470. INFO: [Synth 8-6157] synthesizing module 'fifo_v2__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
  471. Parameter DEPTH bound to: 32'b00000000000000000000000000000010
  472. INFO: [Synth 8-6157] synthesizing module 'fifo_v3__parameterized5' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  473. Parameter FALL_THROUGH bound to: 1'b0
  474. Parameter DATA_WIDTH bound to: 32'b00000000000000000000000000100000
  475. Parameter DEPTH bound to: 32'b00000000000000000000000000000010
  476. INFO: [Synth 8-6155] done synthesizing module 'fifo_v3__parameterized5' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/fifo_v3.sv:13]
  477. INFO: [Synth 8-6155] done synthesizing module 'fifo_v2__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/deprecated/fifo_v2.sv:13]
  478. INFO: [Synth 8-6155] done synthesizing module 'dm_csrs' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_csrs.sv:18]
  479. INFO: [Synth 8-6157] synthesizing module 'dm_sba' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:18]
  480. Parameter BusWidth bound to: 32'b00000000000000000000000001000000
  481. Parameter ReadByteEnable bound to: 1'b1
  482. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:72]
  483. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:101]
  484. INFO: [Synth 8-6155] done synthesizing module 'dm_sba' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_sba.sv:18]
  485. INFO: [Synth 8-6157] synthesizing module 'dm_mem' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:19]
  486. Parameter NrHarts bound to: 32'b00000000000000000000000000000001
  487. Parameter BusWidth bound to: 32'b00000000000000000000000001000000
  488. Parameter SelectableHarts bound to: 1'b1
  489. Parameter DmBaseAddress bound to: 32'b00000000000000000001000000000000
  490. INFO: [Synth 8-6157] synthesizing module 'debug_rom' [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/debug_rom/debug_rom.sv:17]
  491. INFO: [Synth 8-6155] done synthesizing module 'debug_rom' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/debug_rom/debug_rom.sv:17]
  492. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:144]
  493. INFO: [Synth 8-226] default block is never used [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:144]
  494. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:242]
  495. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:272]
  496. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:351]
  497. INFO: [Synth 8-6155] done synthesizing module 'dm_mem' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_mem.sv:19]
  498. INFO: [Synth 8-6155] done synthesizing module 'dm_top' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/riscv-dbg/src/dm_top.sv:20]
  499. INFO: [Synth 8-6157] synthesizing module 'axi2mem' [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:20]
  500. Parameter AXI_ID_WIDTH bound to: 5 - type: integer
  501. Parameter AXI_ADDR_WIDTH bound to: 32'b00000000000000000000000001000000
  502. Parameter AXI_DATA_WIDTH bound to: 32'b00000000000000000000000001000000
  503. Parameter AXI_USER_WIDTH bound to: 64 - type: integer
  504. INFO: [Synth 8-155] case statement is not full and has no default [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:192]
  505. INFO: [Synth 8-155] case statement is not full and has no default [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:234]
  506. INFO: [Synth 8-155] case statement is not full and has no default [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:122]
  507. INFO: [Synth 8-6155] done synthesizing module 'axi2mem' (0#1) [/home/bonne/Documents/test/cva6/corev_apu/axi_mem_if/src/axi2mem.sv:20]
  508. INFO: [Synth 8-6157] synthesizing module 'axi_adapter' [/home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv:19]
  509. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  510. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  511. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  512. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  513. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  514. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  515. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  516. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  517. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  518. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  519. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  520. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  521. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  522. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  523. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  524. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  525. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  526. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  527. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  528. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  529. Parameter CVA6Cfg[RVF] bound to: 1'b1
  530. Parameter CVA6Cfg[RVD] bound to: 1'b1
  531. Parameter CVA6Cfg[XF16] bound to: 1'b0
  532. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  533. Parameter CVA6Cfg[XF8] bound to: 1'b0
  534. Parameter CVA6Cfg[RVA] bound to: 1'b1
  535. Parameter CVA6Cfg[RVB] bound to: 1'b1
  536. Parameter CVA6Cfg[RVV] bound to: 1'b0
  537. Parameter CVA6Cfg[RVC] bound to: 1'b1
  538. Parameter CVA6Cfg[RVH] bound to: 1'b0
  539. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  540. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  541. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  542. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  543. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  544. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  545. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  546. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  547. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  548. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  549. Parameter CVA6Cfg[NSX] bound to: 1'b0
  550. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  551. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  552. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  553. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  554. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  555. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  556. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  557. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  558. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  559. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  560. Parameter CVA6Cfg[RVS] bound to: 1'b1
  561. Parameter CVA6Cfg[RVU] bound to: 1'b1
  562. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  563. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  564. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  565. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  566. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  567. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  568. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  569. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  570. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  571. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  572. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  573. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  574. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  575. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  576. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  577. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  578. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  579. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  580. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  581. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  582. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  583. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  584. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  585. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  586. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  587. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  588. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  589. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  590. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  591. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  592. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  593. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  594. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  595. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  596. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  597. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  598. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  599. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  600. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  601. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  602. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  603. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  604. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  605. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  606. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  607. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  608. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  609. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  610. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  611. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  612. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  613. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  614. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  615. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  616. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  617. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  618. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  619. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  620. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  621. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  622. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  623. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  624. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  625. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  626. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  627. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  628. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  629. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  630. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  631. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  632. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  633. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  634. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  635. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  636. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  637. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  638. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  639. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  640. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  641. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  642. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  643. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  644. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  645. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  646. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  647. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  648. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  649. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  650. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  651. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  652. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  653. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  654. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  655. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  656. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  657. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  658. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  659. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  660. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  661. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  662. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  663. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  664. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  665. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  666. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  667. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  668. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  669. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  670. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  671. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  672. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  673. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  674. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  675. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  676. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  677. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  678. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  679. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  680. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  681. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  682. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  683. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  684. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  685. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  686. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  687. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  688. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  689. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  690. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  691. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  692. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  693. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  694. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  695. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  696. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  697. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  698. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  699. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  700. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  701. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  702. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  703. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  704. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  705. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  706. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  707. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  708. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  709. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  710. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  711. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  712. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  713. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  714. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  715. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  716. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  717. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  718. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  719. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  720. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  721. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  722. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  723. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  724. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  725. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  726. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  727. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  728. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  729. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  730. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  731. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  732. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  733. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  734. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  735. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  736. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  737. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  738. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  739. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  740. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  741. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  742. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  743. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  744. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  745. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  746. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  747. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  748. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  749. Parameter DATA_WIDTH bound to: 32'b00000000000000000000000001000000
  750. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv:486]
  751. INFO: [Synth 8-6155] done synthesizing module 'axi_adapter' (0#1) [/home/bonne/Documents/test/cva6/core/cache_subsystem/axi_adapter.sv:19]
  752. INFO: [Synth 8-6157] synthesizing module 'ariane' [/home/bonne/Documents/test/cva6/corev_apu/src/ariane.sv:16]
  753. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  754. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  755. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  756. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  757. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  758. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  759. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  760. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  761. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  762. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  763. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  764. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  765. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  766. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  767. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  768. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  769. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  770. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  771. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  772. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  773. Parameter CVA6Cfg[RVF] bound to: 1'b1
  774. Parameter CVA6Cfg[RVD] bound to: 1'b1
  775. Parameter CVA6Cfg[XF16] bound to: 1'b0
  776. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  777. Parameter CVA6Cfg[XF8] bound to: 1'b0
  778. Parameter CVA6Cfg[RVA] bound to: 1'b1
  779. Parameter CVA6Cfg[RVB] bound to: 1'b1
  780. Parameter CVA6Cfg[RVV] bound to: 1'b0
  781. Parameter CVA6Cfg[RVC] bound to: 1'b1
  782. Parameter CVA6Cfg[RVH] bound to: 1'b0
  783. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  784. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  785. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  786. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  787. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  788. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  789. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  790. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  791. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  792. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  793. Parameter CVA6Cfg[NSX] bound to: 1'b0
  794. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  795. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  796. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  797. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  798. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  799. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  800. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  801. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  802. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  803. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  804. Parameter CVA6Cfg[RVS] bound to: 1'b1
  805. Parameter CVA6Cfg[RVU] bound to: 1'b1
  806. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  807. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  808. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  809. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  810. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  811. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  812. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  813. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  814. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  815. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  816. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  817. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  818. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  819. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  820. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  821. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  822. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  823. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  824. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  825. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  826. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  827. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  828. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  829. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  830. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  831. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  832. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  833. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  834. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  835. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  836. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  837. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  838. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  839. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  840. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  841. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  842. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  843. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  844. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  845. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  846. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  847. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  848. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  849. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  850. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  851. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  852. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  853. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  854. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  855. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  856. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  857. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  858. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  859. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  860. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  861. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  862. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  863. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  864. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  865. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  866. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  867. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  868. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  869. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  870. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  871. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  872. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  873. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  874. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  875. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  876. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  877. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  878. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  879. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  880. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  881. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  882. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  883. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  884. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  885. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  886. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  887. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  888. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  889. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  890. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  891. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  892. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  893. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  894. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  895. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  896. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  897. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  898. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  899. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  900. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  901. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  902. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  903. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  904. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  905. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  906. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  907. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  908. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  909. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  910. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  911. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  912. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  913. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  914. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  915. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  916. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  917. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  918. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  919. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  920. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  921. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  922. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  923. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  924. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  925. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  926. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  927. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  928. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  929. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  930. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  931. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  932. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  933. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  934. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  935. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  936. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  937. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  938. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  939. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  940. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  941. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  942. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  943. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  944. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  945. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  946. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  947. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  948. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  949. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  950. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  951. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  952. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  953. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  954. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  955. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  956. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  957. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  958. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  959. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  960. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  961. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  962. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  963. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  964. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  965. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  966. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  967. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  968. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  969. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  970. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  971. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  972. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  973. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  974. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  975. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  976. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  977. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  978. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  979. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  980. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  981. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  982. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  983. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  984. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  985. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  986. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  987. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  988. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  989. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  990. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  991. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  992. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  993. INFO: [Synth 8-6157] synthesizing module 'cvxif_example_coprocessor' [/home/bonne/Documents/test/cva6/core/cvxif_example/cvxif_example_coprocessor.sv:12]
  994. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  995. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  996. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  997. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  998. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  999. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  1000. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  1001. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  1002. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  1003. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  1004. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  1005. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  1006. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  1007. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  1008. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  1009. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  1010. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  1011. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  1012. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  1013. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  1014. Parameter CVA6Cfg[RVF] bound to: 1'b1
  1015. Parameter CVA6Cfg[RVD] bound to: 1'b1
  1016. Parameter CVA6Cfg[XF16] bound to: 1'b0
  1017. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  1018. Parameter CVA6Cfg[XF8] bound to: 1'b0
  1019. Parameter CVA6Cfg[RVA] bound to: 1'b1
  1020. Parameter CVA6Cfg[RVB] bound to: 1'b1
  1021. Parameter CVA6Cfg[RVV] bound to: 1'b0
  1022. Parameter CVA6Cfg[RVC] bound to: 1'b1
  1023. Parameter CVA6Cfg[RVH] bound to: 1'b0
  1024. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  1025. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  1026. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  1027. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  1028. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  1029. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  1030. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  1031. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  1032. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  1033. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  1034. Parameter CVA6Cfg[NSX] bound to: 1'b0
  1035. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  1036. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  1037. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  1038. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  1039. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  1040. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  1041. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  1042. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  1043. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  1044. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  1045. Parameter CVA6Cfg[RVS] bound to: 1'b1
  1046. Parameter CVA6Cfg[RVU] bound to: 1'b1
  1047. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  1048. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  1049. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  1050. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  1051. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  1052. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  1053. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  1054. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  1055. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  1056. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  1057. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  1058. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1059. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  1060. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  1061. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  1062. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1063. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1064. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1065. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1066. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1067. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1068. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1069. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1070. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1071. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1072. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1073. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1074. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1075. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1076. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1077. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1078. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1079. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1080. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1081. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1082. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1083. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1084. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1085. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1086. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1087. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1088. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1089. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1090. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1091. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1092. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1093. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1094. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  1095. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  1096. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  1097. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1098. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1099. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1100. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1101. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1102. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1103. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1104. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1105. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1106. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1107. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1108. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1109. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1110. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1111. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1112. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1113. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1114. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1115. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1116. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1117. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1118. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1119. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1120. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1121. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1122. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1123. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1124. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1125. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1126. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1127. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1128. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1129. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  1130. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1131. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1132. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1133. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1134. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1135. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1136. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1137. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1138. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1139. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1140. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1141. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1142. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1143. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1144. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1145. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1146. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1147. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1148. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1149. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1150. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1151. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1152. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1153. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1154. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1155. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1156. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1157. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1158. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1159. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1160. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1161. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  1162. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  1163. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1164. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1165. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1166. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1167. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1168. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1169. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1170. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1171. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1172. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1173. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1174. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1175. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1176. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1177. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1178. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1179. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1180. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1181. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1182. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1183. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1184. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1185. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1186. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1187. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1188. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1189. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1190. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1191. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1192. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1193. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1194. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1195. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  1196. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  1197. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  1198. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  1199. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  1200. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  1201. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1202. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1203. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1204. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1205. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  1206. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  1207. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  1208. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  1209. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1210. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1211. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1212. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1213. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1214. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  1215. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  1216. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  1217. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  1218. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  1219. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1220. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  1221. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  1222. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  1223. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  1224. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  1225. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  1226. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  1227. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  1228. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  1229. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  1230. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  1231. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  1232. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  1233. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  1234. INFO: [Synth 8-6157] synthesizing module 'instr_decoder' [/home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv:10]
  1235. Parameter NbInstr bound to: 32'sb00000000000000000000000000000010
  1236. Parameter CoproInstr bound to: 140'b00000000000000000000000000101011000000000000000000000000011111111000000000000000000000000000000101101100000000000000000000000001111111110000
  1237. WARNING: [Synth 8-2898] ignoring concurrent assertion [/home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv:46]
  1238. INFO: [Synth 8-6155] done synthesizing module 'instr_decoder' (0#1) [/home/bonne/Documents/test/cva6/core/cvxif_example/instr_decoder.sv:10]
  1239. INFO: [Synth 8-6157] synthesizing module 'cva6_fifo_v3' [/home/bonne/Documents/test/cva6/core/cva6_fifo_v3.sv:13]
  1240. Parameter FALL_THROUGH bound to: 1'b1
  1241. Parameter DATA_WIDTH bound to: 32'b00000000000000000000000001000000
  1242. Parameter DEPTH bound to: 32'b00000000000000000000000000001000
  1243. Parameter FPGA_EN bound to: 1'b0
  1244. INFO: [Synth 8-6155] done synthesizing module 'cva6_fifo_v3' (0#1) [/home/bonne/Documents/test/cva6/core/cva6_fifo_v3.sv:13]
  1245. INFO: [Synth 8-6157] synthesizing module 'counter__parameterized0' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
  1246. Parameter WIDTH bound to: 32'b00000000000000000000000000000100
  1247. INFO: [Synth 8-6157] synthesizing module 'delta_counter__parameterized1' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
  1248. Parameter WIDTH bound to: 32'b00000000000000000000000000000100
  1249. Parameter STICKY_OVERFLOW bound to: 1'b0
  1250. INFO: [Synth 8-6155] done synthesizing module 'delta_counter__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/delta_counter.sv:13]
  1251. INFO: [Synth 8-6155] done synthesizing module 'counter__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/counter.sv:14]
  1252. INFO: [Synth 8-6155] done synthesizing module 'cvxif_example_coprocessor' (0#1) [/home/bonne/Documents/test/cva6/core/cvxif_example/cvxif_example_coprocessor.sv:12]
  1253. INFO: [Synth 8-6157] synthesizing module 'cva6' [/home/bonne/Documents/test/cva6/core/cva6.sv:17]
  1254. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  1255. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  1256. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  1257. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  1258. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  1259. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  1260. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  1261. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  1262. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  1263. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  1264. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  1265. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  1266. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  1267. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  1268. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  1269. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  1270. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  1271. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  1272. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  1273. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  1274. Parameter CVA6Cfg[RVF] bound to: 1'b1
  1275. Parameter CVA6Cfg[RVD] bound to: 1'b1
  1276. Parameter CVA6Cfg[XF16] bound to: 1'b0
  1277. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  1278. Parameter CVA6Cfg[XF8] bound to: 1'b0
  1279. Parameter CVA6Cfg[RVA] bound to: 1'b1
  1280. Parameter CVA6Cfg[RVB] bound to: 1'b1
  1281. Parameter CVA6Cfg[RVV] bound to: 1'b0
  1282. Parameter CVA6Cfg[RVC] bound to: 1'b1
  1283. Parameter CVA6Cfg[RVH] bound to: 1'b0
  1284. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  1285. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  1286. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  1287. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  1288. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  1289. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  1290. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  1291. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  1292. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  1293. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  1294. Parameter CVA6Cfg[NSX] bound to: 1'b0
  1295. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  1296. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  1297. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  1298. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  1299. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  1300. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  1301. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  1302. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  1303. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  1304. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  1305. Parameter CVA6Cfg[RVS] bound to: 1'b1
  1306. Parameter CVA6Cfg[RVU] bound to: 1'b1
  1307. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  1308. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  1309. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  1310. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  1311. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  1312. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  1313. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  1314. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  1315. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  1316. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  1317. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  1318. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1319. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  1320. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  1321. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  1322. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1323. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1324. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1325. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1326. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1327. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1328. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1329. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1330. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1331. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1332. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1333. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1334. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1335. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1336. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1337. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1338. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1339. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1340. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1341. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1342. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1343. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1344. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1345. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1346. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1347. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1348. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1349. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1350. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1351. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1352. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1353. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1354. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  1355. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  1356. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  1357. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1358. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1359. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1360. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1361. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1362. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1363. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1364. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1365. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1366. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1367. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1368. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1369. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1370. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1371. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1372. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1373. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1374. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1375. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1376. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1377. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1378. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1379. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1380. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1381. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1382. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1383. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1384. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1385. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1386. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1387. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1388. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1389. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  1390. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1391. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1392. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1393. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1394. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1395. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1396. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1397. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1398. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1399. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1400. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1401. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1402. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1403. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1404. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1405. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1406. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1407. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1408. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1409. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1410. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1411. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1412. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1413. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1414. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1415. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1416. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1417. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1418. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1419. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1420. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1421. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  1422. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  1423. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1424. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1425. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1426. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1427. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1428. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1429. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1430. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1431. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1432. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1433. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1434. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1435. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1436. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1437. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1438. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1439. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1440. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1441. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1442. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1443. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1444. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1445. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1446. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1447. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1448. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1449. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1450. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1451. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1452. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1453. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1454. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1455. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  1456. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  1457. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  1458. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  1459. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  1460. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  1461. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1462. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1463. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1464. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1465. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  1466. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  1467. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  1468. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  1469. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1470. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1471. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1472. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1473. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1474. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  1475. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  1476. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  1477. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  1478. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  1479. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1480. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  1481. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  1482. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  1483. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  1484. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  1485. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  1486. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  1487. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  1488. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  1489. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  1490. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  1491. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  1492. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  1493. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  1494. INFO: [Synth 8-6157] synthesizing module 'perf_counters' [/home/bonne/Documents/test/cva6/core/perf_counters.sv:16]
  1495. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  1496. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  1497. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  1498. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  1499. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  1500. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  1501. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  1502. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  1503. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  1504. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  1505. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  1506. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  1507. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  1508. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  1509. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  1510. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  1511. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  1512. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  1513. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  1514. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  1515. Parameter CVA6Cfg[RVF] bound to: 1'b1
  1516. Parameter CVA6Cfg[RVD] bound to: 1'b1
  1517. Parameter CVA6Cfg[XF16] bound to: 1'b0
  1518. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  1519. Parameter CVA6Cfg[XF8] bound to: 1'b0
  1520. Parameter CVA6Cfg[RVA] bound to: 1'b1
  1521. Parameter CVA6Cfg[RVB] bound to: 1'b1
  1522. Parameter CVA6Cfg[RVV] bound to: 1'b0
  1523. Parameter CVA6Cfg[RVC] bound to: 1'b1
  1524. Parameter CVA6Cfg[RVH] bound to: 1'b0
  1525. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  1526. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  1527. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  1528. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  1529. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  1530. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  1531. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  1532. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  1533. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  1534. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  1535. Parameter CVA6Cfg[NSX] bound to: 1'b0
  1536. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  1537. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  1538. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  1539. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  1540. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  1541. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  1542. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  1543. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  1544. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  1545. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  1546. Parameter CVA6Cfg[RVS] bound to: 1'b1
  1547. Parameter CVA6Cfg[RVU] bound to: 1'b1
  1548. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  1549. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  1550. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  1551. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  1552. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  1553. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  1554. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  1555. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  1556. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  1557. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  1558. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  1559. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1560. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  1561. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  1562. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  1563. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1564. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1565. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1566. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1567. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1568. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1569. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1570. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1571. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1572. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1573. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1574. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1575. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1576. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1577. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1578. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1579. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1580. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1581. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1582. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1583. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1584. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1585. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1586. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1587. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1588. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1589. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1590. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1591. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1592. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1593. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1594. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1595. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  1596. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  1597. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  1598. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1599. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1600. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1601. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1602. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1603. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1604. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1605. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1606. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1607. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1608. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1609. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1610. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1611. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1612. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1613. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1614. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1615. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1616. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1617. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1618. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1619. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1620. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1621. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1622. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1623. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1624. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1625. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1626. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1627. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1628. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1629. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1630. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  1631. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1632. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1633. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1634. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1635. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1636. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1637. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1638. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1639. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1640. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1641. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1642. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1643. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1644. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1645. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1646. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1647. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1648. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1649. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1650. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1651. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1652. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1653. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1654. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1655. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1656. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1657. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1658. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1659. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1660. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1661. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1662. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  1663. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  1664. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1665. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1666. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1667. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1668. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1669. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1670. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1671. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1672. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1673. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1674. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1675. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1676. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1677. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1678. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1679. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1680. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1681. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1682. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1683. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1684. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1685. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1686. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1687. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1688. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1689. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1690. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1691. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1692. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1693. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1694. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1695. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1696. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  1697. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  1698. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  1699. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  1700. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  1701. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  1702. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1703. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1704. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1705. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1706. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  1707. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  1708. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  1709. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  1710. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1711. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1712. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1713. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1714. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1715. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  1716. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  1717. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  1718. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  1719. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  1720. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1721. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  1722. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  1723. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  1724. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  1725. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  1726. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  1727. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  1728. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  1729. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  1730. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  1731. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  1732. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  1733. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  1734. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  1735. Parameter NumPorts bound to: 4 - type: integer
  1736. INFO: [Synth 8-6155] done synthesizing module 'perf_counters' (0#1) [/home/bonne/Documents/test/cva6/core/perf_counters.sv:16]
  1737. INFO: [Synth 8-6157] synthesizing module 'wt_cache_subsystem' [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_cache_subsystem.sv:22]
  1738. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  1739. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  1740. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  1741. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  1742. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  1743. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  1744. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  1745. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  1746. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  1747. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  1748. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  1749. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  1750. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  1751. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  1752. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  1753. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  1754. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  1755. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  1756. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  1757. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  1758. Parameter CVA6Cfg[RVF] bound to: 1'b1
  1759. Parameter CVA6Cfg[RVD] bound to: 1'b1
  1760. Parameter CVA6Cfg[XF16] bound to: 1'b0
  1761. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  1762. Parameter CVA6Cfg[XF8] bound to: 1'b0
  1763. Parameter CVA6Cfg[RVA] bound to: 1'b1
  1764. Parameter CVA6Cfg[RVB] bound to: 1'b1
  1765. Parameter CVA6Cfg[RVV] bound to: 1'b0
  1766. Parameter CVA6Cfg[RVC] bound to: 1'b1
  1767. Parameter CVA6Cfg[RVH] bound to: 1'b0
  1768. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  1769. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  1770. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  1771. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  1772. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  1773. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  1774. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  1775. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  1776. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  1777. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  1778. Parameter CVA6Cfg[NSX] bound to: 1'b0
  1779. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  1780. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  1781. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  1782. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  1783. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  1784. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  1785. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  1786. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  1787. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  1788. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  1789. Parameter CVA6Cfg[RVS] bound to: 1'b1
  1790. Parameter CVA6Cfg[RVU] bound to: 1'b1
  1791. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  1792. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  1793. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  1794. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  1795. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  1796. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  1797. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  1798. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  1799. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  1800. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  1801. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  1802. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1803. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  1804. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  1805. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  1806. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1807. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1808. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1809. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1810. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1811. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1812. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1813. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1814. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1815. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1816. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1817. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1818. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1819. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1820. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1821. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1822. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1823. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1824. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1825. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1826. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1827. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1828. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1829. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1830. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1831. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1832. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1833. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1834. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1835. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1836. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1837. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1838. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  1839. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  1840. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  1841. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1842. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1843. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1844. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1845. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1846. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1847. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1848. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1849. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1850. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1851. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1852. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1853. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1854. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1855. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1856. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1857. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1858. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1859. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1860. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1861. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1862. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1863. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1864. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1865. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1866. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1867. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1868. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1869. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1870. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1871. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1872. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1873. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  1874. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1875. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1876. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1877. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1878. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1879. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1880. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1881. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1882. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1883. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1884. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1885. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1886. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1887. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1888. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1889. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1890. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1891. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1892. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1893. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1894. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1895. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1896. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1897. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1898. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1899. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1900. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1901. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1902. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1903. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1904. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  1905. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  1906. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  1907. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1908. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1909. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1910. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1911. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1912. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1913. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1914. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1915. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1916. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1917. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1918. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1919. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1920. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1921. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1922. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  1923. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1924. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1925. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1926. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1927. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1928. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1929. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1930. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1931. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1932. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1933. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1934. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1935. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1936. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1937. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  1938. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  1939. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  1940. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  1941. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  1942. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  1943. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  1944. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  1945. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1946. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1947. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1948. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1949. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  1950. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  1951. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  1952. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  1953. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  1954. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  1955. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1956. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  1957. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1958. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  1959. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  1960. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  1961. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  1962. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  1963. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  1964. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  1965. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  1966. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  1967. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  1968. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  1969. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  1970. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  1971. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  1972. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  1973. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  1974. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  1975. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  1976. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  1977. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  1978. Parameter NumPorts bound to: 4 - type: integer
  1979. INFO: [Synth 8-6157] synthesizing module 'cva6_icache' [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv:28]
  1980. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  1981. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  1982. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  1983. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  1984. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  1985. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  1986. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  1987. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  1988. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  1989. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  1990. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  1991. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  1992. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  1993. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  1994. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  1995. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  1996. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  1997. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  1998. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  1999. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  2000. Parameter CVA6Cfg[RVF] bound to: 1'b1
  2001. Parameter CVA6Cfg[RVD] bound to: 1'b1
  2002. Parameter CVA6Cfg[XF16] bound to: 1'b0
  2003. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  2004. Parameter CVA6Cfg[XF8] bound to: 1'b0
  2005. Parameter CVA6Cfg[RVA] bound to: 1'b1
  2006. Parameter CVA6Cfg[RVB] bound to: 1'b1
  2007. Parameter CVA6Cfg[RVV] bound to: 1'b0
  2008. Parameter CVA6Cfg[RVC] bound to: 1'b1
  2009. Parameter CVA6Cfg[RVH] bound to: 1'b0
  2010. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  2011. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  2012. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  2013. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  2014. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  2015. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  2016. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  2017. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  2018. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  2019. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  2020. Parameter CVA6Cfg[NSX] bound to: 1'b0
  2021. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  2022. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  2023. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  2024. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  2025. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  2026. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  2027. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  2028. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  2029. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  2030. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  2031. Parameter CVA6Cfg[RVS] bound to: 1'b1
  2032. Parameter CVA6Cfg[RVU] bound to: 1'b1
  2033. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  2034. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  2035. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  2036. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  2037. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  2038. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  2039. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  2040. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  2041. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  2042. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  2043. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  2044. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2045. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  2046. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  2047. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  2048. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2049. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2050. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2051. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2052. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2053. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2054. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2055. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2056. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2057. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2058. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2059. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2060. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2061. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2062. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2063. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2064. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2065. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2066. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2067. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2068. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2069. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2070. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2071. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2072. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2073. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2074. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2075. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2076. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2077. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2078. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2079. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2080. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  2081. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  2082. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  2083. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2084. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2085. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2086. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2087. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2088. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2089. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2090. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2091. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2092. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2093. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2094. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2095. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2096. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2097. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2098. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2099. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2100. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2101. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2102. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2103. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2104. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2105. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2106. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2107. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2108. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2109. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2110. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2111. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2112. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2113. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2114. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2115. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  2116. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2117. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2118. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2119. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2120. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2121. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2122. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2123. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2124. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2125. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2126. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2127. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2128. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2129. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2130. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2131. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2132. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2133. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2134. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2135. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2136. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2137. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2138. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2139. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2140. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2141. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2142. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2143. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2144. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2145. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2146. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2147. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  2148. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  2149. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2150. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2151. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2152. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2153. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2154. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2155. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2156. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2157. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2158. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2159. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2160. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2161. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2162. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2163. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2164. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2165. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2166. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2167. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2168. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2169. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2170. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2171. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2172. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2173. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2174. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2175. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2176. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2177. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2178. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2179. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2180. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2181. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  2182. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  2183. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  2184. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  2185. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  2186. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  2187. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2188. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2189. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2190. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2191. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  2192. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  2193. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  2194. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  2195. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2196. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2197. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2198. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2199. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2200. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  2201. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  2202. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  2203. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  2204. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  2205. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2206. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  2207. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  2208. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  2209. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  2210. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  2211. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  2212. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  2213. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  2214. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  2215. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  2216. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  2217. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  2218. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  2219. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  2220. Parameter RdTxId bound to: 2'b00
  2221. INFO: [Synth 8-6157] synthesizing module 'sram_cache' [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
  2222. Parameter DATA_WIDTH bound to: 45 - type: integer
  2223. Parameter NUM_WORDS bound to: 256 - type: integer
  2224. Parameter BYTE_ACCESS bound to: 0 - type: integer
  2225. Parameter TECHNO_CUT bound to: 1'b0
  2226. INFO: [Synth 8-6157] synthesizing module 'sram' [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
  2227. Parameter DATA_WIDTH bound to: 45 - type: integer
  2228. Parameter USER_WIDTH bound to: 1 - type: integer
  2229. Parameter USER_EN bound to: 0 - type: integer
  2230. Parameter NUM_WORDS bound to: 256 - type: integer
  2231. INFO: [Synth 8-6157] synthesizing module 'tc_sram_wrapper' [/home/bonne/Documents/test/cva6/common/local/util/tc_sram_fpga_wrapper.sv:10]
  2232. Parameter NumWords bound to: 256 - type: integer
  2233. Parameter DataWidth bound to: 32'b00000000000000000000000001000000
  2234. Parameter ByteWidth bound to: 8 - type: integer
  2235. Parameter NumPorts bound to: 1 - type: integer
  2236. Parameter Latency bound to: 1 - type: integer
  2237. Parameter SimInit bound to: none - type: string
  2238. Parameter PrintSimCfg bound to: 1'b0
  2239. INFO: [Synth 8-6157] synthesizing module 'SyncSpRamBeNx64' [/home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncSpRamBeNx64.sv:28]
  2240. Parameter ADDR_WIDTH bound to: 8 - type: integer
  2241. Parameter DATA_DEPTH bound to: 256 - type: integer
  2242. Parameter OUT_REGS bound to: 0 - type: integer
  2243. Parameter SIM_INIT bound to: 1 - type: integer
  2244. INFO: [Synth 8-6155] done synthesizing module 'SyncSpRamBeNx64' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/fpga-support/rtl/SyncSpRamBeNx64.sv:28]
  2245. INFO: [Synth 8-6155] done synthesizing module 'tc_sram_wrapper' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/tc_sram_fpga_wrapper.sv:10]
  2246. INFO: [Synth 8-6155] done synthesizing module 'sram' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
  2247. INFO: [Synth 8-6155] done synthesizing module 'sram_cache' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
  2248. INFO: [Synth 8-6157] synthesizing module 'sram_cache__parameterized0' [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
  2249. Parameter DATA_WIDTH bound to: 128 - type: integer
  2250. Parameter USER_WIDTH bound to: 128 - type: integer
  2251. Parameter USER_EN bound to: 0 - type: integer
  2252. Parameter NUM_WORDS bound to: 256 - type: integer
  2253. Parameter BYTE_ACCESS bound to: 0 - type: integer
  2254. Parameter TECHNO_CUT bound to: 1'b0
  2255. INFO: [Synth 8-6157] synthesizing module 'sram__parameterized0' [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
  2256. INFO: [Common 17-14] Message 'Synth 8-6157' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
  2257. Parameter DATA_WIDTH bound to: 128 - type: integer
  2258. Parameter USER_WIDTH bound to: 128 - type: integer
  2259. Parameter USER_EN bound to: 0 - type: integer
  2260. Parameter NUM_WORDS bound to: 256 - type: integer
  2261. INFO: [Synth 8-6155] done synthesizing module 'sram__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram.sv:21]
  2262. INFO: [Synth 8-6155] done synthesizing module 'sram_cache__parameterized0' (0#1) [/home/bonne/Documents/test/cva6/common/local/util/sram_cache.sv:21]
  2263. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv:220]
  2264. Parameter WIDTH bound to: 32'b00000000000000000000000000000100
  2265. INFO: [Synth 8-6155] done synthesizing module 'lzc__parameterized1' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
  2266. Parameter LfsrWidth bound to: 32'b00000000000000000000000000001000
  2267. Parameter OutWidth bound to: 32'b00000000000000000000000000000010
  2268. INFO: [Synth 8-6155] done synthesizing module 'lfsr' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lfsr.sv:22]
  2269. INFO: [Synth 8-6155] done synthesizing module 'cva6_icache' (0#1) [/home/bonne/Documents/test/cva6/core/cache_subsystem/cva6_icache.sv:28]
  2270. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  2271. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  2272. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  2273. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  2274. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  2275. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  2276. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  2277. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  2278. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  2279. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  2280. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  2281. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  2282. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  2283. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  2284. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  2285. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  2286. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  2287. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  2288. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  2289. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  2290. Parameter CVA6Cfg[RVF] bound to: 1'b1
  2291. Parameter CVA6Cfg[RVD] bound to: 1'b1
  2292. Parameter CVA6Cfg[XF16] bound to: 1'b0
  2293. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  2294. Parameter CVA6Cfg[XF8] bound to: 1'b0
  2295. Parameter CVA6Cfg[RVA] bound to: 1'b1
  2296. Parameter CVA6Cfg[RVB] bound to: 1'b1
  2297. Parameter CVA6Cfg[RVV] bound to: 1'b0
  2298. Parameter CVA6Cfg[RVC] bound to: 1'b1
  2299. Parameter CVA6Cfg[RVH] bound to: 1'b0
  2300. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  2301. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  2302. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  2303. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  2304. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  2305. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  2306. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  2307. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  2308. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  2309. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  2310. Parameter CVA6Cfg[NSX] bound to: 1'b0
  2311. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  2312. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  2313. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  2314. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  2315. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  2316. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  2317. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  2318. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  2319. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  2320. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  2321. Parameter CVA6Cfg[RVS] bound to: 1'b1
  2322. Parameter CVA6Cfg[RVU] bound to: 1'b1
  2323. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  2324. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  2325. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  2326. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  2327. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  2328. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  2329. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  2330. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  2331. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  2332. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  2333. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  2334. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2335. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  2336. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  2337. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  2338. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2339. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2340. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2341. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2342. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2343. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2344. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2345. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2346. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2347. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2348. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2349. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2350. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2351. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2352. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2353. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2354. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2355. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2356. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2357. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2358. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2359. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2360. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2361. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2362. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2363. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2364. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2365. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2366. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2367. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2368. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2369. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2370. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  2371. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  2372. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  2373. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2374. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2375. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2376. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2377. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2378. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2379. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2380. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2381. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2382. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2383. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2384. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2385. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2386. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2387. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2388. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2389. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2390. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2391. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2392. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2393. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2394. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2395. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2396. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2397. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2398. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2399. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2400. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2401. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2402. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2403. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2404. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2405. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  2406. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2407. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2408. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2409. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2410. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2411. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2412. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2413. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2414. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2415. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2416. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2417. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2418. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2419. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2420. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2421. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2422. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2423. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2424. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2425. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2426. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2427. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2428. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2429. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2430. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2431. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2432. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2433. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2434. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2435. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2436. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2437. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  2438. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  2439. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2440. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2441. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2442. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2443. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2444. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2445. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2446. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2447. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2448. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2449. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2450. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2451. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2452. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2453. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2454. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2455. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2456. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2457. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2458. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2459. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2460. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2461. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2462. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2463. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2464. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2465. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2466. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2467. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2468. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2469. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2470. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2471. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  2472. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  2473. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  2474. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  2475. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  2476. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  2477. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2478. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2479. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2480. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2481. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  2482. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  2483. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  2484. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  2485. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2486. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2487. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2488. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2489. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2490. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  2491. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  2492. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  2493. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  2494. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  2495. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2496. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  2497. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  2498. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  2499. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  2500. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  2501. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  2502. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  2503. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  2504. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  2505. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  2506. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  2507. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  2508. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  2509. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  2510. Parameter RdAmoTxId bound to: 2'b01
  2511. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  2512. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  2513. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  2514. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  2515. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  2516. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  2517. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  2518. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  2519. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  2520. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  2521. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  2522. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  2523. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  2524. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  2525. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  2526. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  2527. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  2528. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  2529. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  2530. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  2531. Parameter CVA6Cfg[RVF] bound to: 1'b1
  2532. Parameter CVA6Cfg[RVD] bound to: 1'b1
  2533. Parameter CVA6Cfg[XF16] bound to: 1'b0
  2534. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  2535. Parameter CVA6Cfg[XF8] bound to: 1'b0
  2536. Parameter CVA6Cfg[RVA] bound to: 1'b1
  2537. Parameter CVA6Cfg[RVB] bound to: 1'b1
  2538. Parameter CVA6Cfg[RVV] bound to: 1'b0
  2539. Parameter CVA6Cfg[RVC] bound to: 1'b1
  2540. Parameter CVA6Cfg[RVH] bound to: 1'b0
  2541. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  2542. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  2543. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  2544. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  2545. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  2546. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  2547. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  2548. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  2549. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  2550. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  2551. Parameter CVA6Cfg[NSX] bound to: 1'b0
  2552. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  2553. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  2554. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  2555. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  2556. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  2557. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  2558. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  2559. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  2560. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  2561. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  2562. Parameter CVA6Cfg[RVS] bound to: 1'b1
  2563. Parameter CVA6Cfg[RVU] bound to: 1'b1
  2564. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  2565. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  2566. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  2567. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  2568. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  2569. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  2570. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  2571. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  2572. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  2573. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  2574. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  2575. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2576. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  2577. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  2578. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  2579. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2580. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2581. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2582. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2583. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2584. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2585. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2586. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2587. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2588. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2589. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2590. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2591. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2592. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2593. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2594. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2595. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2596. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2597. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2598. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2599. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2600. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2601. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2602. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2603. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2604. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2605. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2606. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2607. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2608. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2609. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2610. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2611. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  2612. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  2613. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  2614. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2615. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2616. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2617. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2618. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2619. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2620. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2621. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2622. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2623. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2624. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2625. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2626. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2627. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2628. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2629. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2630. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2631. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2632. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2633. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2634. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2635. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2636. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2637. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2638. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2639. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2640. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2641. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2642. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2643. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2644. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2645. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2646. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  2647. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2648. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2649. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2650. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2651. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2652. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2653. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2654. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2655. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2656. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2657. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2658. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2659. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2660. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2661. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2662. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2663. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2664. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2665. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2666. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2667. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2668. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2669. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2670. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2671. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2672. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2673. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2674. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2675. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2676. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2677. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2678. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  2679. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  2680. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2681. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2682. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2683. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2684. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2685. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2686. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2687. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2688. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2689. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2690. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2691. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2692. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2693. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2694. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2695. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2696. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2697. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2698. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2699. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2700. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2701. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2702. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2703. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2704. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2705. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2706. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2707. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2708. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2709. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2710. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2711. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2712. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  2713. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  2714. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  2715. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  2716. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  2717. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  2718. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2719. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2720. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2721. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2722. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  2723. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  2724. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  2725. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  2726. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2727. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2728. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2729. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2730. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2731. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  2732. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  2733. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  2734. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  2735. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  2736. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2737. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  2738. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  2739. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  2740. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  2741. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  2742. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  2743. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  2744. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  2745. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  2746. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  2747. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  2748. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  2749. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  2750. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  2751. Parameter DCACHE_CL_IDX_WIDTH bound to: 8 - type: integer
  2752. Parameter RdTxId bound to: 2'b01
  2753. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv:134]
  2754. INFO: [Synth 8-226] default block is never used [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv:134]
  2755. INFO: [Synth 8-6155] done synthesizing module 'wt_dcache_ctrl' (0#1) [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_ctrl.sv:16]
  2756. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  2757. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  2758. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  2759. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  2760. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  2761. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  2762. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  2763. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  2764. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  2765. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  2766. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  2767. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  2768. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  2769. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  2770. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  2771. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  2772. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  2773. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  2774. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  2775. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  2776. Parameter CVA6Cfg[RVF] bound to: 1'b1
  2777. Parameter CVA6Cfg[RVD] bound to: 1'b1
  2778. Parameter CVA6Cfg[XF16] bound to: 1'b0
  2779. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  2780. Parameter CVA6Cfg[XF8] bound to: 1'b0
  2781. Parameter CVA6Cfg[RVA] bound to: 1'b1
  2782. Parameter CVA6Cfg[RVB] bound to: 1'b1
  2783. Parameter CVA6Cfg[RVV] bound to: 1'b0
  2784. Parameter CVA6Cfg[RVC] bound to: 1'b1
  2785. Parameter CVA6Cfg[RVH] bound to: 1'b0
  2786. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  2787. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  2788. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  2789. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  2790. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  2791. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  2792. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  2793. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  2794. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  2795. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  2796. Parameter CVA6Cfg[NSX] bound to: 1'b0
  2797. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  2798. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  2799. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  2800. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  2801. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  2802. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  2803. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  2804. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  2805. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  2806. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  2807. Parameter CVA6Cfg[RVS] bound to: 1'b1
  2808. Parameter CVA6Cfg[RVU] bound to: 1'b1
  2809. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  2810. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  2811. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  2812. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  2813. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  2814. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  2815. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  2816. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  2817. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  2818. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  2819. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  2820. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2821. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  2822. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  2823. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  2824. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2825. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2826. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2827. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2828. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2829. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2830. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2831. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2832. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2833. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2834. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2835. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2836. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2837. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2838. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2839. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2840. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2841. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2842. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2843. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2844. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2845. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2846. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2847. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2848. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2849. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2850. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2851. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2852. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2853. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2854. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2855. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2856. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  2857. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  2858. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  2859. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2860. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2861. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2862. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2863. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2864. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2865. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2866. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2867. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2868. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2869. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2870. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2871. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2872. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2873. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2874. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2875. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2876. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2877. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2878. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2879. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2880. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2881. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2882. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2883. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2884. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2885. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2886. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2887. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2888. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2889. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2890. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2891. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  2892. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2893. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2894. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2895. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2896. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2897. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2898. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2899. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2900. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2901. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2902. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2903. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2904. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2905. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2906. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2907. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2908. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2909. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2910. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2911. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2912. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2913. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2914. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2915. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2916. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2917. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2918. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2919. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2920. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2921. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2922. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  2923. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  2924. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  2925. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2926. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2927. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2928. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2929. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2930. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2931. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2932. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2933. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2934. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2935. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2936. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2937. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2938. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2939. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2940. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  2941. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2942. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2943. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2944. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2945. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2946. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2947. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2948. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2949. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2950. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2951. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2952. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2953. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2954. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2955. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  2956. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  2957. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  2958. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  2959. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  2960. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  2961. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  2962. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  2963. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2964. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2965. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2966. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2967. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  2968. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  2969. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  2970. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  2971. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  2972. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  2973. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2974. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  2975. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2976. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  2977. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  2978. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  2979. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  2980. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  2981. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  2982. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  2983. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  2984. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  2985. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  2986. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  2987. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  2988. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  2989. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  2990. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  2991. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  2992. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  2993. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  2994. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  2995. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  2996. Parameter DCACHE_CL_IDX_WIDTH bound to: 8 - type: integer
  2997. Parameter AmoTxId bound to: 2'b01
  2998. Parameter NumPorts bound to: 32'b00000000000000000000000000000100
  2999. Parameter WIDTH bound to: 32'b00000000000000000000000000001000
  3000. INFO: [Synth 8-6155] done synthesizing module 'lzc__parameterized2' (0#1) [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/lzc.sv:25]
  3001. INFO: [Common 17-14] Message 'Synth 8-6155' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
  3002. Parameter LfsrWidth bound to: 32'b00000000000000000000000000001000
  3003. Parameter OutWidth bound to: 32'b00000000000000000000000000000011
  3004. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv:98]
  3005. Parameter Seed bound to: 32'b00000000000000000000000000000011
  3006. Parameter MaxExp bound to: 32'b00000000000000000000000000010000
  3007. WARNING: [Synth 8-693] zero replication count - replication ignored [/home/bonne/Documents/test/cva6/vendor/pulp-platform/common_cells/src/exp_backoff.sv:59]
  3008. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv:350]
  3009. INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/home/bonne/Documents/test/cva6/core/cache_subsystem/wt_dcache_missunit.sv:451]
  3010. Parameter CVA6Cfg[XLEN] bound to: 32'b00000000000000000000000001000000
  3011. Parameter CVA6Cfg[VLEN] bound to: 32'b00000000000000000000000001000000
  3012. Parameter CVA6Cfg[PLEN] bound to: 32'b00000000000000000000000000111000
  3013. Parameter CVA6Cfg[GPLEN] bound to: 32'b00000000000000000000000000101001
  3014. Parameter CVA6Cfg[IS_XLEN32] bound to: 1'b0
  3015. Parameter CVA6Cfg[IS_XLEN64] bound to: 1'b1
  3016. Parameter CVA6Cfg[XLEN_ALIGN_BYTES] bound to: 32'b00000000000000000000000000000011
  3017. Parameter CVA6Cfg[ASID_WIDTH] bound to: 32'b00000000000000000000000000010000
  3018. Parameter CVA6Cfg[VMID_WIDTH] bound to: 32'b00000000000000000000000000001110
  3019. Parameter CVA6Cfg[FpgaEn] bound to: 1'b0
  3020. Parameter CVA6Cfg[TechnoCut] bound to: 1'b0
  3021. Parameter CVA6Cfg[NrCommitPorts] bound to: 32'b00000000000000000000000000000010
  3022. Parameter CVA6Cfg[NrLoadPipeRegs] bound to: 32'b00000000000000000000000000000001
  3023. Parameter CVA6Cfg[NrStorePipeRegs] bound to: 32'b00000000000000000000000000000000
  3024. Parameter CVA6Cfg[AxiAddrWidth] bound to: 32'b00000000000000000000000001000000
  3025. Parameter CVA6Cfg[AxiDataWidth] bound to: 32'b00000000000000000000000001000000
  3026. Parameter CVA6Cfg[AxiIdWidth] bound to: 32'b00000000000000000000000000000100
  3027. Parameter CVA6Cfg[AxiUserWidth] bound to: 32'b00000000000000000000000001000000
  3028. Parameter CVA6Cfg[MEM_TID_WIDTH] bound to: 32'b00000000000000000000000000000010
  3029. Parameter CVA6Cfg[NrLoadBufEntries] bound to: 32'b00000000000000000000000000000010
  3030. Parameter CVA6Cfg[RVF] bound to: 1'b1
  3031. Parameter CVA6Cfg[RVD] bound to: 1'b1
  3032. Parameter CVA6Cfg[XF16] bound to: 1'b0
  3033. Parameter CVA6Cfg[XF16ALT] bound to: 1'b0
  3034. Parameter CVA6Cfg[XF8] bound to: 1'b0
  3035. Parameter CVA6Cfg[RVA] bound to: 1'b1
  3036. Parameter CVA6Cfg[RVB] bound to: 1'b1
  3037. Parameter CVA6Cfg[RVV] bound to: 1'b0
  3038. Parameter CVA6Cfg[RVC] bound to: 1'b1
  3039. Parameter CVA6Cfg[RVH] bound to: 1'b0
  3040. Parameter CVA6Cfg[RVZCB] bound to: 1'b1
  3041. Parameter CVA6Cfg[RVZCMP] bound to: 1'b0
  3042. Parameter CVA6Cfg[XFVec] bound to: 1'b0
  3043. Parameter CVA6Cfg[CvxifEn] bound to: 1'b1
  3044. Parameter CVA6Cfg[RVZiCond] bound to: 1'b0
  3045. Parameter CVA6Cfg[RVZicntr] bound to: 1'b1
  3046. Parameter CVA6Cfg[RVZihpm] bound to: 1'b1
  3047. Parameter CVA6Cfg[NR_SB_ENTRIES] bound to: 32'b00000000000000000000000000001000
  3048. Parameter CVA6Cfg[TRANS_ID_BITS] bound to: 32'b00000000000000000000000000000011
  3049. Parameter CVA6Cfg[FpPresent] bound to: 1'b1
  3050. Parameter CVA6Cfg[NSX] bound to: 1'b0
  3051. Parameter CVA6Cfg[FLen] bound to: 32'b00000000000000000000000001000000
  3052. Parameter CVA6Cfg[RVFVec] bound to: 1'b0
  3053. Parameter CVA6Cfg[XF16Vec] bound to: 1'b0
  3054. Parameter CVA6Cfg[XF16ALTVec] bound to: 1'b0
  3055. Parameter CVA6Cfg[XF8Vec] bound to: 1'b0
  3056. Parameter CVA6Cfg[NrRgprPorts] bound to: 32'b00000000000000000000000000000010
  3057. Parameter CVA6Cfg[NrWbPorts] bound to: 32'b00000000000000000000000000000101
  3058. Parameter CVA6Cfg[EnableAccelerator] bound to: 1'b0
  3059. Parameter CVA6Cfg[PerfCounterEn] bound to: 1'b1
  3060. Parameter CVA6Cfg[MmuPresent] bound to: 1'b1
  3061. Parameter CVA6Cfg[RVS] bound to: 1'b1
  3062. Parameter CVA6Cfg[RVU] bound to: 1'b1
  3063. Parameter CVA6Cfg[HaltAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000000000
  3064. Parameter CVA6Cfg[ExceptionAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000100000001000
  3065. Parameter CVA6Cfg[RASDepth] bound to: 32'b00000000000000000000000000000010
  3066. Parameter CVA6Cfg[BTBEntries] bound to: 32'b00000000000000000000000000100000
  3067. Parameter CVA6Cfg[BHTEntries] bound to: 32'b00000000000000000000000010000000
  3068. Parameter CVA6Cfg[InstrTlbEntries] bound to: 32'b00000000000000000000000000010000
  3069. Parameter CVA6Cfg[DataTlbEntries] bound to: 32'b00000000000000000000000000010000
  3070. Parameter CVA6Cfg[UseSharedTlb] bound to: 1'b0
  3071. Parameter CVA6Cfg[SharedTlbDepth] bound to: 32'b00000000000000000000000001000000
  3072. Parameter CVA6Cfg[VpnLen] bound to: 32'b00000000000000000000000000011011
  3073. Parameter CVA6Cfg[PtLevels] bound to: 32'b00000000000000000000000000000011
  3074. Parameter CVA6Cfg[DmBaseAddress] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3075. Parameter CVA6Cfg[TvalEn] bound to: 1'b1
  3076. Parameter CVA6Cfg[DirectVecOnly] bound to: 1'b0
  3077. Parameter CVA6Cfg[NrPMPEntries] bound to: 32'b00000000000000000000000000001000
  3078. Parameter CVA6Cfg[PMPCfgRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3079. Parameter CVA6Cfg[PMPCfgRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3080. Parameter CVA6Cfg[PMPCfgRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3081. Parameter CVA6Cfg[PMPCfgRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3082. Parameter CVA6Cfg[PMPCfgRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3083. Parameter CVA6Cfg[PMPCfgRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3084. Parameter CVA6Cfg[PMPCfgRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3085. Parameter CVA6Cfg[PMPCfgRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3086. Parameter CVA6Cfg[PMPCfgRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3087. Parameter CVA6Cfg[PMPCfgRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3088. Parameter CVA6Cfg[PMPCfgRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3089. Parameter CVA6Cfg[PMPCfgRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3090. Parameter CVA6Cfg[PMPCfgRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3091. Parameter CVA6Cfg[PMPCfgRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3092. Parameter CVA6Cfg[PMPCfgRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3093. Parameter CVA6Cfg[PMPCfgRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3094. Parameter CVA6Cfg[PMPAddrRstVal][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3095. Parameter CVA6Cfg[PMPAddrRstVal][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3096. Parameter CVA6Cfg[PMPAddrRstVal][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3097. Parameter CVA6Cfg[PMPAddrRstVal][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3098. Parameter CVA6Cfg[PMPAddrRstVal][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3099. Parameter CVA6Cfg[PMPAddrRstVal][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3100. Parameter CVA6Cfg[PMPAddrRstVal][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3101. Parameter CVA6Cfg[PMPAddrRstVal][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3102. Parameter CVA6Cfg[PMPAddrRstVal][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3103. Parameter CVA6Cfg[PMPAddrRstVal][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3104. Parameter CVA6Cfg[PMPAddrRstVal][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3105. Parameter CVA6Cfg[PMPAddrRstVal][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3106. Parameter CVA6Cfg[PMPAddrRstVal][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3107. Parameter CVA6Cfg[PMPAddrRstVal][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3108. Parameter CVA6Cfg[PMPAddrRstVal][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3109. Parameter CVA6Cfg[PMPAddrRstVal][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3110. Parameter CVA6Cfg[PMPEntryReadOnly] bound to: 16'b0000000000000000
  3111. Parameter CVA6Cfg[NOCType] bound to: 32'sb00000000000000000000000000000000
  3112. Parameter CVA6Cfg[NrNonIdempotentRules] bound to: 32'b00000000000000000000000000000001
  3113. Parameter CVA6Cfg[NonIdempotentAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3114. Parameter CVA6Cfg[NonIdempotentAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3115. Parameter CVA6Cfg[NonIdempotentAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3116. Parameter CVA6Cfg[NonIdempotentAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3117. Parameter CVA6Cfg[NonIdempotentAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3118. Parameter CVA6Cfg[NonIdempotentAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3119. Parameter CVA6Cfg[NonIdempotentAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3120. Parameter CVA6Cfg[NonIdempotentAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3121. Parameter CVA6Cfg[NonIdempotentAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3122. Parameter CVA6Cfg[NonIdempotentAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3123. Parameter CVA6Cfg[NonIdempotentAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3124. Parameter CVA6Cfg[NonIdempotentAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3125. Parameter CVA6Cfg[NonIdempotentAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3126. Parameter CVA6Cfg[NonIdempotentAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3127. Parameter CVA6Cfg[NonIdempotentAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3128. Parameter CVA6Cfg[NonIdempotentAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3129. Parameter CVA6Cfg[NonIdempotentLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3130. Parameter CVA6Cfg[NonIdempotentLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3131. Parameter CVA6Cfg[NonIdempotentLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3132. Parameter CVA6Cfg[NonIdempotentLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3133. Parameter CVA6Cfg[NonIdempotentLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3134. Parameter CVA6Cfg[NonIdempotentLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3135. Parameter CVA6Cfg[NonIdempotentLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3136. Parameter CVA6Cfg[NonIdempotentLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3137. Parameter CVA6Cfg[NonIdempotentLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3138. Parameter CVA6Cfg[NonIdempotentLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3139. Parameter CVA6Cfg[NonIdempotentLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3140. Parameter CVA6Cfg[NonIdempotentLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3141. Parameter CVA6Cfg[NonIdempotentLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3142. Parameter CVA6Cfg[NonIdempotentLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3143. Parameter CVA6Cfg[NonIdempotentLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3144. Parameter CVA6Cfg[NonIdempotentLength][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  3145. Parameter CVA6Cfg[NrExecuteRegionRules] bound to: 32'b00000000000000000000000000000011
  3146. Parameter CVA6Cfg[ExecuteRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3147. Parameter CVA6Cfg[ExecuteRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3148. Parameter CVA6Cfg[ExecuteRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3149. Parameter CVA6Cfg[ExecuteRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3150. Parameter CVA6Cfg[ExecuteRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3151. Parameter CVA6Cfg[ExecuteRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3152. Parameter CVA6Cfg[ExecuteRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3153. Parameter CVA6Cfg[ExecuteRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3154. Parameter CVA6Cfg[ExecuteRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3155. Parameter CVA6Cfg[ExecuteRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3156. Parameter CVA6Cfg[ExecuteRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3157. Parameter CVA6Cfg[ExecuteRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3158. Parameter CVA6Cfg[ExecuteRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3159. Parameter CVA6Cfg[ExecuteRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  3160. Parameter CVA6Cfg[ExecuteRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  3161. Parameter CVA6Cfg[ExecuteRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3162. Parameter CVA6Cfg[ExecuteRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3163. Parameter CVA6Cfg[ExecuteRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3164. Parameter CVA6Cfg[ExecuteRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3165. Parameter CVA6Cfg[ExecuteRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3166. Parameter CVA6Cfg[ExecuteRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3167. Parameter CVA6Cfg[ExecuteRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3168. Parameter CVA6Cfg[ExecuteRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3169. Parameter CVA6Cfg[ExecuteRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3170. Parameter CVA6Cfg[ExecuteRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3171. Parameter CVA6Cfg[ExecuteRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3172. Parameter CVA6Cfg[ExecuteRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3173. Parameter CVA6Cfg[ExecuteRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3174. Parameter CVA6Cfg[ExecuteRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3175. Parameter CVA6Cfg[ExecuteRegionLength][2] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  3176. Parameter CVA6Cfg[ExecuteRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000010000000000000000
  3177. Parameter CVA6Cfg[ExecuteRegionLength][0] bound to: 64'b0000000000000000000000000000000000000000000000000001000000000000
  3178. Parameter CVA6Cfg[NrCachedRegionRules] bound to: 32'b00000000000000000000000000000001
  3179. Parameter CVA6Cfg[CachedRegionAddrBase][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3180. Parameter CVA6Cfg[CachedRegionAddrBase][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3181. Parameter CVA6Cfg[CachedRegionAddrBase][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3182. Parameter CVA6Cfg[CachedRegionAddrBase][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3183. Parameter CVA6Cfg[CachedRegionAddrBase][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3184. Parameter CVA6Cfg[CachedRegionAddrBase][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3185. Parameter CVA6Cfg[CachedRegionAddrBase][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3186. Parameter CVA6Cfg[CachedRegionAddrBase][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3187. Parameter CVA6Cfg[CachedRegionAddrBase][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3188. Parameter CVA6Cfg[CachedRegionAddrBase][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3189. Parameter CVA6Cfg[CachedRegionAddrBase][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3190. Parameter CVA6Cfg[CachedRegionAddrBase][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3191. Parameter CVA6Cfg[CachedRegionAddrBase][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3192. Parameter CVA6Cfg[CachedRegionAddrBase][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3193. Parameter CVA6Cfg[CachedRegionAddrBase][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3194. Parameter CVA6Cfg[CachedRegionAddrBase][0] bound to: 64'b0000000000000000000000000000000010000000000000000000000000000000
  3195. Parameter CVA6Cfg[CachedRegionLength][15] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3196. Parameter CVA6Cfg[CachedRegionLength][14] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3197. Parameter CVA6Cfg[CachedRegionLength][13] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3198. Parameter CVA6Cfg[CachedRegionLength][12] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3199. Parameter CVA6Cfg[CachedRegionLength][11] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3200. Parameter CVA6Cfg[CachedRegionLength][10] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3201. Parameter CVA6Cfg[CachedRegionLength][9] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3202. Parameter CVA6Cfg[CachedRegionLength][8] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3203. Parameter CVA6Cfg[CachedRegionLength][7] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3204. Parameter CVA6Cfg[CachedRegionLength][6] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3205. Parameter CVA6Cfg[CachedRegionLength][5] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3206. Parameter CVA6Cfg[CachedRegionLength][4] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3207. Parameter CVA6Cfg[CachedRegionLength][3] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3208. Parameter CVA6Cfg[CachedRegionLength][2] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3209. Parameter CVA6Cfg[CachedRegionLength][1] bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000
  3210. Parameter CVA6Cfg[CachedRegionLength][0] bound to: 64'b0000000000000000000000000000000001000000000000000000000000000000
  3211. Parameter CVA6Cfg[MaxOutstandingStores] bound to: 32'b00000000000000000000000000000111
  3212. Parameter CVA6Cfg[DebugEn] bound to: 1'b1
  3213. Parameter CVA6Cfg[NonIdemPotenceEn] bound to: 1'b1
  3214. Parameter CVA6Cfg[AxiBurstWriteEn] bound to: 1'b0
  3215. Parameter CVA6Cfg[ICACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000000100
  3216. Parameter CVA6Cfg[ICACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000010
  3217. Parameter CVA6Cfg[ICACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  3218. Parameter CVA6Cfg[ICACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  3219. Parameter CVA6Cfg[ICACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  3220. Parameter CVA6Cfg[ICACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  3221. Parameter CVA6Cfg[DCacheType] bound to: 2'b01
  3222. Parameter CVA6Cfg[DcacheIdWidth] bound to: 32'b00000000000000000000000000000001
  3223. Parameter CVA6Cfg[DCACHE_SET_ASSOC] bound to: 32'b00000000000000000000000000001000
  3224. Parameter CVA6Cfg[DCACHE_SET_ASSOC_WIDTH] bound to: 32'b00000000000000000000000000000011
  3225. Parameter CVA6Cfg[DCACHE_INDEX_WIDTH] bound to: 32'b00000000000000000000000000001100
  3226. Parameter CVA6Cfg[DCACHE_TAG_WIDTH] bound to: 32'b00000000000000000000000000101100
  3227. Parameter CVA6Cfg[DCACHE_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  3228. Parameter CVA6Cfg[DCACHE_USER_LINE_WIDTH] bound to: 32'b00000000000000000000000010000000
  3229. Parameter CVA6Cfg[DCACHE_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  3230. Parameter CVA6Cfg[DCACHE_OFFSET_WIDTH] bound to: 32'b00000000000000000000000000000100
  3231. Parameter CVA6Cfg[DCACHE_NUM_WORDS] bound to: 32'b00000000000000000000000100000000
  3232. Parameter CVA6Cfg[DCACHE_MAX_TX] bound to: 32'b00000000000000000000000000000100
  3233. Parameter CVA6Cfg[DATA_USER_EN] bound to: 32'b00000000000000000000000000000000
  3234. Parameter CVA6Cfg[WtDcacheWbufDepth] bound to: 32'b00000000000000000000000000001000
  3235. Parameter CVA6Cfg[FETCH_USER_WIDTH] bound to: 32'b00000000000000000000000001000000
  3236. Parameter CVA6Cfg[FETCH_USER_EN] bound to: 32'b00000000000000000000000000000000
  3237. Parameter CVA6Cfg[AXI_USER_EN] bound to: 1'b0
  3238. Parameter CVA6Cfg[FETCH_WIDTH] bound to: 32'b00000000000000000000000000100000
  3239. Parameter CVA6Cfg[FETCH_ALIGN_BITS] bound to: 32'b00000000000000000000000000000010
  3240. Parameter CVA6Cfg[INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000010
  3241. Parameter CVA6Cfg[LOG2_INSTR_PER_FETCH] bound to: 32'b00000000000000000000000000000001
  3242. Parameter CVA6Cfg[ModeW] bound to: 32'b00000000000000000000000000000100
  3243. Parameter CVA6Cfg[ASIDW] bound to: 32'b00000000000000000000000000010000
  3244. Parameter CVA6Cfg[VMIDW] bound to: 32'b00000000000000000000000000001110
  3245. Parameter CVA6Cfg[PPNW] bound to: 32'b00000000000000000000000000101100
  3246. Parameter CVA6Cfg[GPPNW] bound to: 32'b00000000000000000000000000011101
  3247. Parameter CVA6Cfg[MODE_SV] bound to: 4'b1000
  3248. Parameter CVA6Cfg[SV] bound to: 32'b00000000000000000000000000100111
  3249. Parameter CVA6Cfg[SVX] bound to: 32'b00000000000000000000000000101001
  3250. Parameter DCACHE_CL_IDX_WIDTH bound to: 8 - type: integer
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement