Advertisement
Oleguer

decenas_7seg

Dec 7th, 2021
1,002
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.79 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4.  
  5. entity DCto7seg is
  6.     port (
  7.         DC: in std_logic_vector (3 downto 0);
  8.       DCseg: out std_logic_vector (6 downto 0)
  9.     );
  10. end DCto7seg;
  11.  
  12. architecture dataflow of DCto7seg is
  13.  
  14. begin
  15.  
  16.     process (DC) is
  17.    
  18.      begin
  19.  
  20.         case DC is
  21.             when "0000" => DCseg <= "1111110";
  22.             when "0001" => DCseg <= "0110000";
  23.             when "0010" => DCseg <= "1101101";
  24.             when "0011" => DCseg <= "1111001";
  25.             when "0100" => DCseg <= "0110011";
  26.             when "0101" => DCseg <= "1011011";
  27.             when "0110" => DCseg <= "1011111";
  28.             when "0111" => DCseg <= "1110000";
  29.             when "1000" => DCseg <= "1111111";
  30.             when "1001" => DCseg <= "1111011";
  31.             when others => DCseg <= "XXXXXXX";
  32.         end case;
  33.        
  34.     end process;
  35.    
  36. end dataflow;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement