Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- initial begin
- $readmemh("../rtl/ram/ram0_PE0.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
- $readmemh( "./lena.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
- //$readmemh( "./dolphin.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
- $readmemh( "./bunny.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
- end
- `ifdef FSDB
- initial begin
- $fsdbDumpfile ("NoC_4x4_ext.fsdb");
- //$fsdbDumpvars (0, u_axi_data_gen);
- $fsdbDumpvars (0, u_axi_sram);
- //$fsdbDumpvars (0, sopc);
- $fsdbDumpvars (0, `NOC_PE(0));
- #656000 $finish;
- end
- `endif
- reg [15:0] sim_done;
- initial begin
- sim_done = 16'b0000_0000_0000_0000;
- end
- reg newtimer=1;
- time start_time;
- time elapsed_time=0;
- always @(`NOC_PE_CPU(0).traceport_exec_insn_o) begin
- if (`NOC_PE_CPU(0).traceport_exec_insn_o == 32'h1500_000a) begin
- if (newtimer===1) begin
- newtimer = 0;
- start_time = $time;
- end else begin
- newtimer = 1;
- elapsed_time = elapsed_time + ($time-start_time);
- //$display("ILIB_PROFILE: + %1d NS / %1d NS at %1d NS", ($time-start_time), elapsed_time, $time);
- end
- end
- end
- integer f_out;
- integer f_start[0:15];
- integer f_end[0:15];
- integer f_cnt[0:15];
- integer jpegcore;
- integer PE_no;
- initial begin
- jpegcore = 0;
- end
- always @( sim_done ) begin
- if (&sim_done) begin
- $display("Communication time: %1d NS, Ratio %2d: ",elapsed_time, 100 * elapsed_time/$time);
- $display ("ALL Processing Element have stopped! at %1d\n", $time);
- f_out = $fopen("out/file/3d.tga", "wb");
- for (PE_no=32'hC0000; PE_no < 32'hD0000; PE_no = PE_no + 1) begin
- $fwrite(f_out, "%c%c%c%c",u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][31:24],u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][23:16],u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][15:8],u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][7:0]);
- end
- $fclose( f_out );
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement