Advertisement
Guest User

Untitled

a guest
Jul 5th, 2017
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. initial begin
  3.   $readmemh("../rtl/ram/ram0_PE0.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
  4.   $readmemh( "./lena.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
  5.   //$readmemh( "./dolphin.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
  6.   $readmemh( "./bunny.vmem", u_axi_sram.U_single_port_sram_0.S_matrix);
  7. end
  8.  
  9.  
  10. `ifdef FSDB
  11.   initial begin
  12.     $fsdbDumpfile ("NoC_4x4_ext.fsdb");
  13.     //$fsdbDumpvars (0, u_axi_data_gen);
  14.     $fsdbDumpvars (0, u_axi_sram);
  15.     //$fsdbDumpvars (0, sopc);
  16.     $fsdbDumpvars (0, `NOC_PE(0));
  17.     #656000 $finish;
  18.   end
  19. `endif
  20.  
  21. reg [15:0] sim_done;
  22. initial begin
  23.   sim_done = 16'b0000_0000_0000_0000;
  24. end
  25.  
  26. reg newtimer=1;
  27. time start_time;
  28. time elapsed_time=0;
  29.  
  30. always @(`NOC_PE_CPU(0).traceport_exec_insn_o) begin
  31.   if (`NOC_PE_CPU(0).traceport_exec_insn_o == 32'h1500_000a) begin
  32.     if (newtimer===1) begin
  33.       newtimer = 0;
  34.       start_time = $time;
  35.     end else begin
  36.       newtimer = 1;
  37.       elapsed_time = elapsed_time + ($time-start_time);
  38.       //$display("ILIB_PROFILE: + %1d NS / %1d NS at %1d NS", ($time-start_time), elapsed_time, $time);
  39.     end
  40.   end
  41. end
  42.  
  43.  
  44. integer f_out;
  45. integer f_start[0:15];
  46. integer f_end[0:15];
  47. integer f_cnt[0:15];
  48. integer jpegcore;
  49. integer PE_no;
  50.  
  51. initial begin
  52.   jpegcore = 0;
  53. end
  54. always @( sim_done ) begin
  55.   if (&sim_done) begin
  56.     $display("Communication time: %1d NS, Ratio %2d: ",elapsed_time, 100 * elapsed_time/$time);
  57.     $display ("ALL Processing Element have stopped! at %1d\n", $time);
  58.     f_out = $fopen("out/file/3d.tga", "wb");
  59.     for (PE_no=32'hC0000; PE_no < 32'hD0000; PE_no = PE_no + 1) begin
  60.       $fwrite(f_out, "%c%c%c%c",u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][31:24],u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][23:16],u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][15:8],u_axi_sram.U_single_port_sram_0.S_matrix[PE_no][7:0]);
  61.     end
  62.     $fclose( f_out );
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement