Advertisement
Guest User

Untitled

a guest
Jun 27th, 2017
57
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.67 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity deb is
  5. generic ( N: integer :=400);
  6. port(
  7.     sin, clk : in std_logic;
  8.     output   : out std_logic);
  9. end deb;
  10.  
  11. architecture arh of deb is
  12. signal brojac, brojac2 : integer range 0 to 1000;
  13. signal flag : std_logic;
  14.  
  15. begin
  16.  
  17.     process(clk)
  18.     begin
  19.    
  20.     if rising_edge(clk) then
  21.        
  22.         if sin='1' then
  23.             brojac <= 0;
  24.         elsif sin='0' and flag='0' and brojac /= N then
  25.             brojac <= brojac + 1;
  26.         elsif brojac = N then
  27.             flag <= '1';
  28.             brojac <= 0;
  29.         end if;
  30.         if flag='1' then
  31.             brojac2 <= brojac2 + 1;
  32.             output<='1';
  33.         end if;
  34.         if brojac2 = N then
  35.             flag<='0';
  36.             output<='0';
  37.             brojac2<=0;
  38.         end if;
  39.        
  40.     end if;
  41.    
  42.     end process;
  43.    
  44. end arh;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement