Advertisement
Guest User

Untitled

a guest
May 22nd, 2018
62
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.45 KB | None | 0 0
  1. module combin(
  2. input [7:0] IN,
  3. output [15:0] OUT,
  4. input clk,
  5. input rst,
  6. input select
  7. );
  8.  
  9. wire [15:0] OUTB;
  10. wire [15:0] OUTS;
  11. wire [3:0] stateb,states;
  12. srt CUT1(
  13. .IN(IN),
  14. .QUOTIENT(OUTS[15:8]),
  15. .REMAINDER(OUTS[7:0]),
  16. .clk(clk),
  17. .rst(rst)
  18. );
  19. boothR4 CUT2(
  20. .IN(IN),
  21. .OUT(OUTB),
  22. .clk(clk),
  23. .rst(rst)
  24. );
  25.  
  26. assign OUT=select ? OUTB : OUTS;
  27. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement