Guest User

Untitled

a guest
May 15th, 2020
81
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.57 KB | None | 0 0
  1. ## Generated SDC file "try2.out.sdc"
  2.  
  3. ## Copyright (C) 2019 Intel Corporation. All rights reserved.
  4. ## Your use of Intel Corporation's design tools, logic functions
  5. ## and other software and tools, and any partner logic
  6. ## functions, and any output files from any of the foregoing
  7. ## (including device programming or simulation files), and any
  8. ## associated documentation or information are expressly subject
  9. ## to the terms and conditions of the Intel Program License
  10. ## Subscription Agreement, the Intel Quartus Prime License Agreement,
  11. ## the Intel FPGA IP License Agreement, or other applicable license
  12. ## agreement, including, without limitation, that your use is for
  13. ## the sole purpose of programming logic devices manufactured by
  14. ## Intel and sold by Intel or its authorized distributors. Please
  15. ## refer to the applicable agreement for further details, at
  16. ## https://fpgasoftware.intel.com/eula.
  17.  
  18.  
  19. ## VENDOR "Altera"
  20. ## PROGRAM "Quartus Prime"
  21. ## VERSION "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition"
  22.  
  23. ## DATE "Sat May 16 07:33:55 2020"
  24.  
  25. ##
  26. ## DEVICE "EP4CE6E22C8"
  27. ##
  28.  
  29.  
  30. #**************************************************************
  31. # Time Information
  32. #**************************************************************
  33.  
  34. set_time_format -unit ns -decimal_places 3
  35.  
  36.  
  37.  
  38. #**************************************************************
  39. # Create Clock
  40. #**************************************************************
  41.  
  42. create_clock -name {clk} -period 20.000 -waveform { 0.000 10.000 } [get_ports {clk}]
  43.  
  44.  
  45. #**************************************************************
  46. # Create Generated Clock
  47. #**************************************************************
  48.  
  49.  
  50.  
  51. #**************************************************************
  52. # Set Clock Latency
  53. #**************************************************************
  54.  
  55.  
  56.  
  57. #**************************************************************
  58. # Set Clock Uncertainty
  59. #**************************************************************
  60.  
  61. set_clock_uncertainty -rise_from [get_clocks {clk}] -rise_to [get_clocks {clk}] 0.020
  62. set_clock_uncertainty -rise_from [get_clocks {clk}] -fall_to [get_clocks {clk}] 0.020
  63. set_clock_uncertainty -fall_from [get_clocks {clk}] -rise_to [get_clocks {clk}] 0.020
  64. set_clock_uncertainty -fall_from [get_clocks {clk}] -fall_to [get_clocks {clk}] 0.020
  65.  
  66.  
  67. #**************************************************************
  68. # Set Input Delay
  69. #**************************************************************
  70.  
  71.  
  72.  
  73. #**************************************************************
  74. # Set Output Delay
  75. #**************************************************************
  76.  
  77.  
  78.  
  79. #**************************************************************
  80. # Set Clock Groups
  81. #**************************************************************
  82.  
  83.  
  84.  
  85. #**************************************************************
  86. # Set False Path
  87. #**************************************************************
  88.  
  89.  
  90.  
  91. #**************************************************************
  92. # Set Multicycle Path
  93. #**************************************************************
  94.  
  95.  
  96.  
  97. #**************************************************************
  98. # Set Maximum Delay
  99. #**************************************************************
  100.  
  101.  
  102.  
  103. #**************************************************************
  104. # Set Minimum Delay
  105. #**************************************************************
  106.  
  107.  
  108.  
  109. #**************************************************************
  110. # Set Input Transition
  111. #**************************************************************
Add Comment
Please, Sign In to add comment