Advertisement
Guest User

Calculator XDC

a guest
May 6th, 2019
495
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
TCL 0.86 KB | None | 0 0
  1. set_property CONFIG_VOLTAGE 3.3 [current_design]
  2. set_property CFGBVS VCCO [current_design]
  3.  
  4. set_property IOSTANDARD LVCMOS33 [get_ports *]
  5.  
  6. set_property PACKAGE_PIN P10 [get_ports {column[0]}]
  7. set_property PACKAGE_PIN R11 [get_ports {column[1]}]
  8. set_property PACKAGE_PIN T12 [get_ports {column[2]}]
  9. set_property PACKAGE_PIN R12 [get_ports {column[3]}]
  10.  
  11. set_property PACKAGE_PIN T13 [get_ports {row[0]}]
  12. set_property PACKAGE_PIN R13 [get_ports {row[1]}]
  13. set_property PACKAGE_PIN T14 [get_ports {row[2]}]
  14. set_property PACKAGE_PIN P14 [get_ports {row[3]}]
  15.  
  16. set_property PACKAGE_PIN F15 [get_ports {code[0]}]
  17. set_property PACKAGE_PIN E16 [get_ports {code[1]}]
  18. set_property PACKAGE_PIN C14 [get_ports {code[2]}]
  19. set_property PACKAGE_PIN B14 [get_ports {code[3]}]
  20.  
  21. set_property PACKAGE_PIN D1  [get_ports {valid}]
  22.  
  23. set_property PACKAGE_PIN F5 [get_ports {clk}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement