Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- set_property CONFIG_VOLTAGE 3.3 [current_design]
- set_property CFGBVS VCCO [current_design]
- set_property IOSTANDARD LVCMOS33 [get_ports *]
- set_property PACKAGE_PIN P10 [get_ports {column[0]}]
- set_property PACKAGE_PIN R11 [get_ports {column[1]}]
- set_property PACKAGE_PIN T12 [get_ports {column[2]}]
- set_property PACKAGE_PIN R12 [get_ports {column[3]}]
- set_property PACKAGE_PIN T13 [get_ports {row[0]}]
- set_property PACKAGE_PIN R13 [get_ports {row[1]}]
- set_property PACKAGE_PIN T14 [get_ports {row[2]}]
- set_property PACKAGE_PIN P14 [get_ports {row[3]}]
- set_property PACKAGE_PIN F15 [get_ports {code[0]}]
- set_property PACKAGE_PIN E16 [get_ports {code[1]}]
- set_property PACKAGE_PIN C14 [get_ports {code[2]}]
- set_property PACKAGE_PIN B14 [get_ports {code[3]}]
- set_property PACKAGE_PIN D1 [get_ports {valid}]
- set_property PACKAGE_PIN F5 [get_ports {clk}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement