Advertisement
Guest User

Untitled

a guest
Mar 9th, 2019
61
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.57 KB | None | 0 0
  1. module reverse_word(
  2.  
  3.     input [9:7]SW,
  4.     output reg[0:6]HEX0,
  5.     output reg[0:6]HEX1,
  6.     output reg[0:6]HEX2,
  7.     output reg[0:6]HEX3,
  8.     output reg[0:6]HEX4,
  9.     output reg[0:6]HEX5
  10.    
  11.  
  12. );
  13.  
  14.     always@(*)
  15.        
  16.             if(SW == 3'b000)
  17.                
  18.                 begin
  19.                 HEX3 = 7'b1000010;
  20.                 HEX2 = 7'b0110000;
  21.                 HEX1 = 7'b1001111;
  22.                 HEX0 = 7'b0000001;
  23.                 HEX4 = 7'b1111111;
  24.                 HEX5 = 7'b1111111;
  25.                 end
  26.            
  27.             else if(SW == 3'b001)
  28.                
  29.                 begin
  30.                 HEX4 = 7'b1000010;
  31.                 HEX3 = 7'b0110000;
  32.                 HEX2 = 7'b1001111;
  33.                 HEX1 = 7'b0000001;
  34.                 HEX5 = 7'b1111111;
  35.                 HEX0 = 7'b1111111;
  36.                 end
  37.            
  38.             else if(SW == 3'b010)
  39.                
  40.                 begin
  41.                 HEX5 = 7'b1000010;
  42.                 HEX4 = 7'b0110000;
  43.                 HEX3 = 7'b1001111;
  44.                 HEX2 = 7'b0000001;
  45.                 HEX1 = 7'b1111111;
  46.                 HEX0 = 7'b1111111;
  47.                 end
  48.            
  49.             else if(SW == 3'b011)
  50.                
  51.                 begin
  52.                 HEX0 = 7'b1000010;
  53.                 HEX5 = 7'b0110000;
  54.                 HEX4 = 7'b1001111;
  55.                 HEX3 = 7'b0000001;
  56.                 HEX2 = 7'b1111111;
  57.                 HEX1 = 7'b1111111;
  58.                 end
  59.            
  60.             else if(SW == 3'b100)
  61.                
  62.                 begin
  63.                 HEX1 =  7'b1000010;
  64.                 HEX0 = 7'b0110000;
  65.                 HEX5 = 7'b1001111;
  66.                 HEX4 = 7'b0000001;
  67.                 HEX3 = 7'b1111111;
  68.                 HEX2 = 7'b1111111;
  69.                 end
  70.            
  71.             else if(SW == 3'b101)
  72.                
  73.                 begin
  74.                 HEX2 = 7'b1000010;
  75.                 HEX1 = 7'b0110000;
  76.                 HEX0 = 7'b1001111;
  77.                 HEX5 = 7'b0000001;
  78.                 HEX4 = 7'b1111111;
  79.                 HEX3 = 7'b1111111;
  80.                 end
  81.                
  82.             else
  83.                
  84.                 begin
  85.                 HEX4 = 7'b1111111;
  86.                 HEX3 = 7'b1111111;
  87.                 HEX5 = 7'b1111111;
  88.                 HEX2 = 7'b1111111;
  89.                 HEX1 = 7'b1111111;
  90.                 HEX0 = 7'b1111111;
  91.                 end
  92.            
  93.    
  94. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement