Advertisement
Guest User

Untitled

a guest
Jun 26th, 2017
70
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module ALU( A, B, SEL, FOUT );
  2. input [3:0] A, B;
  3. input [2:0] SEL;
  4. output [4:0] FOUT;
  5. reg [4:0] FOUT;
  6.  
  7. always @ ( SEL )
  8. begin
  9.     case( SEL )
  10.     0               :   begin assign fout = a > b; end
  11.     1               :   begin assign fout = b > a; end
  12.     2               :   begin assign fout = {a[2:0], a[3]}; end
  13.     3               :   begin assign fout = {a[0], a[3:1]}; end
  14.     4               :   begin assign fout = a + b; end
  15.     5               :   begin assign fout = a - b; end
  16.     6               :   begin assign fout = b << 1; end
  17.     7               :   begin assign fout = b >> 1; end
  18.     default         :   fout = 5'b00000;
  19.     endcase
  20. end
  21. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement