Advertisement
Guest User

Untitled

a guest
May 9th, 2018
69
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. module Register (
  3.  
  4.     input wire c_CLOCKX,
  5.     input wire c_CLOCKY,
  6.    
  7.     input wire f_SSRSet,
  8.    
  9.     output wire o_SSR,
  10.     output wire [15:0] o_PC,
  11.     output wire [15:0] o_PSP,
  12.     output wire [15:0] o_RSP,
  13.     output wire [15:0] o_OfR,
  14.     output reg [15:0] o_REG,
  15. );
  16.  
  17. reg SSR;
  18. reg [15:0] registers [15:0];
  19.  
  20. assign o_SSR = SSR;
  21. assign o_PC = registers[0];
  22. assign o_PSP = registers[1];
  23. assign o_RSP = registers[2];
  24. assign o_OfR = registers[3];
  25.  
  26.  
  27. always @ (negedge c_CLOCKX) begin
  28.     SSR <= f_SSRSet;
  29. end
  30.  
  31. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement