Advertisement
Guest User

Untitled

a guest
May 15th, 2020
127
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module try2 (
  2.     input wire clk,
  3.     output wire led1,
  4.     output wire led2,
  5.     output wire led3,
  6.     output wire led4
  7. );
  8.  
  9.     reg [27:0] counter;
  10.  
  11.     initial counter = 0;
  12.    
  13.     always @(posedge clk)
  14.         counter <= counter + 1'b1;
  15.    
  16.     assign led1 = ~counter[27];
  17.     assign led2 = ~counter[26];
  18.     assign led3 = ~counter[25];
  19.     assign led4 = ~counter[24];
  20.    
  21. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement