Advertisement
Guest User

Untitled

a guest
Mar 21st, 2017
91
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. module DZ (input logic clk, sclr, output reg [2:0] q);
  3.  
  4.     always @ (posedge clk)
  5.  
  6.     begin
  7.         if (sclr)
  8.             q <= 0;
  9.         else
  10.             begin
  11.                 q[2] <= (~sclr)&(~q[2])&(~q[1])|(~sclr)&(~q[0])&(~q[2])|(q[1])&(q[0])&(q[2])&(~sclr);
  12.                 q[1] <= (~sclr)&(~q[1])&(q[0])|(~sclr)&(q[1])&(~q[0]);
  13.                 q[0] <= (~sclr)&(~q[0]);
  14.             end
  15.    
  16.     end
  17.  
  18. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement