Advertisement
Guest User

Untitled

a guest
Oct 20th, 2014
174
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.20 KB | None | 0 0
  1. module mux4to1(a,b,c,d,sel,out);
  2. input [4:0] a, b, c, d;
  3. input [1:0] sel;
  4.  
  5. output [4:0] out;
  6.  
  7. assign out = (sel == 2'b00) ? a : (sel == 2'b01) ? b :
  8. (sel == 2'b10) ? c : d;
  9. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement