Data hosted with ♥ by Pastebin.com - Download Raw - See Original
  1. entity SlaveN is
  2.   port(
  3.       cs : in std_logic;
  4.       done : out std_logic;
  5.       clk : in std_logic;
  6.       ...);
  7. end SlaveN;
  8.  
  9. architecture Behavioral of SlaveN is
  10. begin
  11.   process(clk) begin
  12.     if clk'event and clk = '1' then
  13.       if cs = '0' then
  14.         done <= 'Z';
  15.       else completed_pending_job then
  16.         done <= '1';
  17.       else
  18.         done <= '0';
  19.       end if;
  20.     end if;
  21.   end process;
  22. end Behavioral;