entity SlaveN is
port(
cs : in std_logic;
done : out std_logic;
clk : in std_logic;
...);
end SlaveN;
architecture Behavioral of SlaveN is
begin
process(clk) begin
if clk'event and clk = '1' then
if cs = '0' then
done <= 'Z';
else completed_pending_job then
done <= '1';
else
done <= '0';
end if;
end if;
end process;
end Behavioral;