Advertisement
Guest User

Untitled

a guest
Apr 28th, 2015
185
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.40 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use ieee.std_logic_unsigned.all;
  4. use ieee.std_logic_unsigned.arith;
  5.  
  6. entity CPU is
  7. port(clk,rst : in std_logic;
  8. instr : in
  9. dataIn :in std_logic_vector(15 downto 0);
  10. dataOut :out std_logic_vector(15 downto 0);
  11. addr :out std_logic_vector(15 downto 0)
  12.  
  13. );
  14.  
  15. end CPU;
  16.  
  17. architecture behavior of CPU is
  18.  
  19. begin
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement