Advertisement
Guest User

comp

a guest
May 24th, 2015
196
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.27 KB | None | 0 0
  1. entity comp_egalitate_1_bit is
  2.     port(A,B: in BIT;
  3.     Y: out BIT);
  4. end comp_egalitate_1_bit;
  5.  
  6. architecture arh of comp_egalitate_1_bit is
  7.  
  8. component poarta_xnor
  9.     port(A,B: in BIT;
  10.     C: out BIT);
  11. end component poarta_xnor;
  12.  
  13. begin
  14.     C1: poarta_xnor port map(A,B,Y);
  15. end arh;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement