Advertisement
Guest User

Untitled

a guest
May 27th, 2015
240
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.23 KB | None | 0 0
  1. process(clk, reset, load)
  2. begin
  3. if (reset = '1') then
  4. s_count <= (others => '0');
  5. elsif (load = '1') then
  6. flag <= '1';
  7. elsif (rising_edge(clk)) then
  8. if(flag = '1') then
  9. s_count <= unsigned(dataIn);
  10. end if;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement