Advertisement
Guest User

Untitled

a guest
Jul 29th, 2015
193
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
PHP 0.08 KB | None | 0 0
  1. <?
  2.     $test = fsockopen('176.58.60.191', 6789);
  3.     fwrite($test, 1);
  4.     fclose($test);
  5. ?>
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement