Advertisement
Guest User

Untitled

a guest
Nov 21st, 2014
159
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.55 KB | None | 0 0
  1. module CLA_4bit(
  2. output [3:0] S,
  3. output Cout,
  4. input [3:0] A,B,
  5. input Cin
  6. );
  7. wire [3:0] G,P,C;
  8.  
  9. assign G = A & B;
  10. assign P = A ^ B;
  11. assign C[0] = Cin;
  12. assign C[1] = G[0] | (P[0] & C[0]);
  13. assign C[2] = G[1] | (P[1] & G[0]) | (P[1] & P[0] & C[0]);
  14. assign C[3] = G[2] | (P[2] & G[1]) | (P[2] & P[1] & G[0]) | (P[2] & P[1] & P[0] & C[0]);
  15. assign Cout = G[3] | (P[3] & G[2]) | (P[3] & P[2] & G[1]) | (P[3] & P[2] & P[1] & G[0]) |(P[3] & P[2] & P[1] & P[0] & C[0]);
  16. assign S = P ^ C;
  17.  
  18. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement