Advertisement
Guest User

Untitled

a guest
Mar 24th, 2017
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. `timescale 1ns/1ps
  3.  
  4. module counter_test;
  5.  
  6.  
  7. logic clk,rst;
  8. logic [3:0] result;
  9.  logic[3:0] start;
  10. initial begin                                              
  11.     clk=0;                
  12.     forever #10 clk = ~clk;
  13. end
  14.  
  15.    
  16.        
  17. initial
  18. begin
  19.     for(logic[3:0] i = 0; i < 6; i++)
  20.       transaction(i);  
  21.     #100 $stop;
  22. end
  23.  
  24.  task transaction;
  25.    input [3:0] start_;
  26.    begin
  27.      start = start_;
  28.     rst = 0;
  29.     #10 rst = 1;
  30.     #10 $strobe("START: %d", start);
  31.     repeat(16) #20 $strobe("Counter value: %d", result);  
  32.     end
  33.    
  34.  endtask
  35.  
  36. bin_cnt test_dev(clk, rst,start,  result);
  37. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement