Advertisement
Guest User

Untitled

a guest
Feb 19th, 2017
66
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.83 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 13:55:50 02/19/2017
  7. // Design Name:
  8. // Module Name: topBlock
  9. // Project Name:
  10. // Target Devices:
  11. // Tool versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21. module topBlock(
  22. input clk,
  23. input rst,
  24. output mosi,
  25. output sck,
  26. input miso,
  27. output reg ss
  28. );
  29. reg[0:0] start;
  30. reg[7:0] data_in;
  31.  
  32. initial begin
  33. start = 1'b1;
  34. ss = 1'b1;
  35. data_in = 8'hA5;
  36. end
  37.  
  38. spi_master #(.CLK_DIV(100)) master(.clk(clk),.rst(rst),.miso(miso),.start(start),.data_in(data_in));
  39.  
  40. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement