Advertisement
Guest User

Untitled

a guest
Mar 17th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. `timescale 1ns/1ps
  3.  
  4. module DZ_test;
  5.  
  6. logic clk, sclr;
  7. reg [2:0] q;
  8.  
  9. initial
  10. begin
  11.     clk=0;
  12.     forever #10 clk = ~clk;
  13. end
  14.  
  15. initial
  16. begin
  17.     sclr = 1;
  18.     #30 sclr = 0;
  19.     #100 $stop;
  20.    
  21. end
  22.  
  23. DZ uut_inst(clk, sclr, q);
  24.  
  25. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement