Advertisement
Guest User

Untitled

a guest
Jan 26th, 2015
163
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.41 KB | None | 0 0
  1. module debouncer (
  2. input button,
  3. input clk,
  4. output reg bt_act // button active
  5. );
  6.  
  7. parameter F_CLK = 25175000;
  8. parameter D_TIME = F_CLK / 100; // wartosc do ustalenia doswiadczalnie :D
  9.  
  10. reg [31:0] counter;
  11.  
  12. always@(posedge clk, negedge button)
  13. if( button == 0 )
  14. counter <= 0;
  15. else
  16. if( counter < D_TIME ) counter <= counter + 1;
  17.  
  18. always@( posedge clk)
  19. if(counter < D_TIME) bt_act <= 1;
  20. else bt_act <= 0;
  21.  
  22. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement