Advertisement
foreverfugazi

Untitled

Sep 18th, 2023
526
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module demux14_tb();
  2.     logic i;
  3.     logic s0;
  4.     logic s1;
  5.     logic y0;
  6.     logic y1;
  7.     logic y2;
  8.     logic y3;
  9.    
  10.     demux14 uut(i, s0, s1, y0, y1, y2, y3);
  11.     initial
  12.     begin
  13.     i = 1;
  14.     s0 = 0; s1 = 0;
  15.     #10 s0 = 0; s1 = 1;
  16.     #10 s0 = 1; s1 = 0;
  17.     #10 s0 = 1; s1 = 1;
  18.     #10
  19.     $finish;
  20.     end
  21. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement